ANFF South Australia
Home > Locations
Overview

ANFF SA is a world-class micro and nanofabrication facility providing access to cutting-edge equipment with support from expert staff specialising in microfluidics, organic electronics, biomaterials, novel semiconductor materials and characterisation.

Node Competencies

ANFF SA houses state-of-the-art photolithography, micromachining, hot embossing and bonding, micro-injection moulding, plasma etching, thin film coating, fluidic simulation, and advanced characterisation in ISO Class 5 & 6 clean room environments.

Contact

Node Director: A/Prof Craig Priest
Email: craig.priest@unisa.edu.au
Phone: +61 8 8302 5146

Facility Manager: Simon Doe
Email: simon.doe@unisa.edu.au
Phone: +61 8 8302 5226

Location
University of South Australia
Address

Future Industries Institute
University of South Australia
Mawson Lakes SA 5095

Flinders University
Address

Sturt Rd,
Flinders University,
Bedford Park SA

Equipment
Deposition
Angstrom Covap II
Thermal evaporator
Flinders University SA Node
Technique
Thermal evaporation
Description
Thermal evaporator housed in an inert atmosphere glovebox.
Related Information
Capable of depositing thin films of up to four metals and/or powders onto a substrate.
Tool Contact
Simon.Doe@unisa.edu.au
Emitech 975K MVD
Thermal evaporator
SA Node University of South Australia
Technique
Thermal evaporation
Description
A thermal evaporator with two evaporation sources
Related Information
Can deposit metals including Au, Cr, Mo, Ti, TiO2, ITO
Tool Contact
Simon.Doe@unisa.edu.au
AJA Orion Sputter Coater
Sputtering system
SA Node University of South Australia
Technique
Sputtering
Description
This sputter coater comes equipped with a glove box and ellipsometer, as well as multiple DC and RF power sources that enable sequential or cosputtering. It utilizes a 4-magnetron sputtering system to deposit high-quality layers without pinholes and without requiring a vacuum break. It can accommodate substrates up to 6 inches in diameter.
Related Information
Commonly used for the fabrication of UV lithography and DRI etch masks. Can deposit metals including Au, Cr, Mo, Ti, TiO2, ITO. The glove box allows for inert atmosphere sample preparation
Tool Contact
Simon.Doe@unisa.edu.au
HHV TF500 Sputter Coater
Sputtering system
SA Node University of South Australia
Technique
Sputtering
Description
A 3 magnetron sputtering system for the deposition of high quality pinhole free layers.Able to accommodate up to 6 inch diameter substrates. Fitted with three sputter sources with a switching system to select from DC or RF power supplies. Multilayer deposition can be performed without breaking vacuum.
Related Information
Commonly used for the fabrication of UV lithography and DRI etch masks. Can deposit metals including Au, Cr, Mo, Ti, TiO2, ITO
Tool Contact
Simon.Doe@unisa.edu.au
Labec / MKS HTF40/12 / Various
Tube furnace and chemical vapour deposition system (CVD)
Flinders University SA Node
Technique
Plasma Enhanced Chemical Vapour Deposition (PECVD)
Description
High temperature furnace with a programmable gas flow system.
Related Information
Up to 1,200°C quartz tube furnace attached to a programmable 4 channel gas flow manifold suitable for chemical vapour deposition.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Angstrom Covap II
Thermal evaporator
Flinders University SA Node
Description
Thermal evaporator housed in an inert atmosphere glovebox.
Related Information
Capable of depositing thin films of up to four metals and/or powders onto a substrate.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Emitech 975K MVD
Thermal evaporator
Flinders University SA Node
Description
A thermal evaporator with two evaporation sources
Related Information
Can deposit metals including Au, Cr, Mo, Ti, TiO2, ITO
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
AJA Orion Sputter Coater
Sputtering system
Flinders University SA Node
Description
This sputter coater comes equipped with a glove box and ellipsometer, as well as multiple DC and RF power sources that enable sequential or cosputtering. It utilizes a 4-magnetron sputtering system to deposit high-quality layers without pinholes and without requiring a vacuum break. It can accommodate substrates up to 6 inches in diameter.
Related Information
Commonly used for the fabrication of UV lithography and DRI etch masks. Can deposit metals including Au, Cr, Mo, Ti, TiO2, ITO. The glove box allows for inert atmosphere sample preparation
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
HHV TF500 Sputter Coater
Sputtering system
Flinders University SA Node
Description
A 3 magnetron sputtering system for the deposition of high quality pinhole free layers.Able to accommodate up to 6 inch diameter substrates. Fitted with three sputter sources with a switching system to select from DC or RF power supplies. Multilayer deposition can be performed without breaking vacuum.
Related Information
Commonly used for the fabrication of UV lithography and DRI etch masks. Can deposit metals including Au, Cr, Mo, Ti, TiO2, ITO
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Labec / MKS HTF40/12 / Various
Tube furnace and chemical vapour deposition system (CVD)
Flinders University SA Node
Description
High temperature furnace with a programmable gas flow system.
Related Information
Up to 1,200°C quartz tube furnace attached to a programmable 4 channel gas flow manifold suitable for chemical vapour deposition.
Tool Contact
Simon.Doe@unisa.edu.au
Etching
Ulvac NLD-570
Deep reactive ion etcher (DRIE)
SA Node University of South Australia
Technique
Deep Reactive Ion Etching (DRIE)
Description
Capable of deep etching features from the nano to micron scale.
Related Information
Etch channel or other feature geometries with extremely high uniformity into glass, plastic or silicon substrates.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Ulvac NLD-570
Deep reactive ion etcher (DRIE)
SA Node University of South Australia
Description
Capable of deep etching features from the nano to micron scale.
Related Information
Etch channel or other feature geometries with extremely high uniformity into glass, plastic or silicon substrates.
Tool Contact
Simon.Doe@unisa.edu.au
Laboratory Infrastructure
Innovative Technology PL-HE-xGB
Inert atmosphere gloveboxes
Flinders University SA Node
Technique
Gloveboxes
Description
Inert atmosphere four and two glove gloveboxes for undertaking oxygen and moisture sensitive processes.
Related Information
Nitrogen-filled gloveboxes, the four glove glovebox contains a 4 source thermal evaporator.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Innovative Technology PL-HE-xGB
Inert atmosphere gloveboxes
Flinders University SA Node
Description
Inert atmosphere four and two glove gloveboxes for undertaking oxygen and moisture sensitive processes.
Related Information
Nitrogen-filled gloveboxes, the four glove glovebox contains a 4 source thermal evaporator.
Tool Contact
Simon.Doe@unisa.edu.au
Lithography
EVG 101 Spin/Spray resist coater
Spin and spray coating
SA Node University of South Australia
Technique
Spin coating and wafer development
Description
This is a spin and spray coater, which is used in micro- and nanofabrication processes to apply thin uniform films of photoresist, polymeric coatings or other materials onto a substrate using spin or spray coating technique.
Related Information
Capable of applying uniform thickness polymer films, such as a resist to substrates a “Gyrset” to help achieve uniformity, especially on square and rectangular substrates and to minimise edge bead build up.
Tool Contact
Simon.Doe@unisa.edu.au
Suss Delta 80 Spin Coater
Spin coater
SA Node University of South Australia
Technique
Spin coating and wafer development
Description
This is a spin coater, which is used in micro- and nanofabrication processes to apply thin uniform films of photoresist, polymeric coatings or other materials onto a substrate using spin coating technique.
Related Information
Capable of applying uniform thickness polymer films, such as a resist to substrates a “Gyrset” to help achieve uniformity, especially on square and rectangular substrates and to minimise edge bead build up.
Tool Contact
Simon.Doe@unisa.edu.au
EVG 620…
Mask aligner and resist exposure system with NIL capability
SA Node University of South Australia
Technique
Multiple mask lithography
Description
High resolution double side mask aligner with split-field microscopes which is capable of handling multiple wafer sizes with quick change-over time.Capable of processing ceramics, glasses, metals, polymers and semiconductors
Related Information
Used for a variety of applications to transfer multiple layers of nanoscale patterns into photoresist films.
Tool Contact
Simon.Doe@unisa.edu.au
EVG 520…
Substrate bonder
SA Node University of South Australia
Technique
Wafer bonding
Description
Designed for bonding, embossing and nanoimprinting applications the system can thermally bond glass substrates and emboss channel geometries into substrates.
Related Information
Capable of all wafer bonding processes such as thermo-compression, fusion or low temperature bonding. It is configured to allow hot embossing of polymers and even glass.
Tool Contact
Simon.Doe@unisa.edu.au
Dilase 650
Direct laser lithography system
SA Node University of South Australia
Technique
Direct laser lithography
Description
This equipment offers you the possibility to work with one or two writing lasers, to be focused into one to two beam sizes ranging from 1µm to 50 µm. Writes over a surface area as large as 6 x 6 inches.
Related Information
Used for direct write rapid prototyping and mask fabrication. Writing is possible on any type of substrate, including: photomasks, semiconductors, glass, polymers, crystals, flexible films.
Tool Contact
Simon.Doe@unisa.edu.au
PlasmaTech V50
Plasma asher
SA Node University of South Australia
Technique
Cleaning
Description
Used primarily for ashing photoresist materials from substrates.
Related Information
Used in the fabrication of microfluidic devices. The system can be also used be used for various other surface treatments.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
EVG 101 Spin/Spray resist coater
Spin and spray coating
SA Node University of South Australia
Description
This is a spin and spray coater, which is used in micro- and nanofabrication processes to apply thin uniform films of photoresist, polymeric coatings or other materials onto a substrate using spin or spray coating technique.
Related Information
Capable of applying uniform thickness polymer films, such as a resist to substrates a “Gyrset” to help achieve uniformity, especially on square and rectangular substrates and to minimise edge bead build up.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Suss Delta 80 Spin Coater
Spin coater
SA Node University of South Australia
Description
This is a spin coater, which is used in micro- and nanofabrication processes to apply thin uniform films of photoresist, polymeric coatings or other materials onto a substrate using spin coating technique.
Related Information
Capable of applying uniform thickness polymer films, such as a resist to substrates a “Gyrset” to help achieve uniformity, especially on square and rectangular substrates and to minimise edge bead build up.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
EVG 620…
Mask aligner and resist exposure system with NIL capability
SA Node University of South Australia
Description
High resolution double side mask aligner with split-field microscopes which is capable of handling multiple wafer sizes with quick change-over time.Capable of processing ceramics, glasses, metals, polymers and semiconductors
Related Information
Used for a variety of applications to transfer multiple layers of nanoscale patterns into photoresist films.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
EVG 520…
Substrate bonder
SA Node University of South Australia
Description
Designed for bonding, embossing and nanoimprinting applications the system can thermally bond glass substrates and emboss channel geometries into substrates.
Related Information
Capable of all wafer bonding processes such as thermo-compression, fusion or low temperature bonding. It is configured to allow hot embossing of polymers and even glass.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Dilase 650
Direct laser lithography system
SA Node University of South Australia
Description
This equipment offers you the possibility to work with one or two writing lasers, to be focused into one to two beam sizes ranging from 1µm to 50 µm. Writes over a surface area as large as 6 x 6 inches.
Related Information
Used for direct write rapid prototyping and mask fabrication. Writing is possible on any type of substrate, including: photomasks, semiconductors, glass, polymers, crystals, flexible films.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
PlasmaTech V50
Plasma asher
SA Node University of South Australia
Description
Used primarily for ashing photoresist materials from substrates.
Related Information
Used in the fabrication of microfluidic devices. The system can be also used be used for various other surface treatments.
Tool Contact
Simon.Doe@unisa.edu.au
Manufacturing and machining
CadWorks385 Microfluidic device printer
Desktop 3D printer
SA Node University of South Australia
Technique
Plastic printing
Description
microfluidic device printer
Related Information
Microfluidic applications such as High-Throughput Devices, Lab-On-A chip, PDMS Master Molds, organ-on-a-chip, BioScaffoldings and many more
Tool Contact
Simon.Doe@unisa.edu.au
Stratasys J735
Polyjet 3D printer
SA Node University of South Australia
Technique
Plastic printing
Description
Polyjet printer for multi-colour, multi-material printing
Related Information
Multi materials available to provide multi modulus constructs.
Tool Contact
Simon.Doe@unisa.edu.au
Morton Lathe
Manual lathe
SA Node University of South Australia
Technique
Other milling
Description
manual lathe
Related Information
Able to machine polymers and metals
Tool Contact
Simon.Doe@unisa.edu.au
Turrett Milling Machine
3 Axis manual mill
SA Node University of South Australia
Technique
Other milling
Description
3 axis manual mill
Related Information
Able to machine polymers and metals
Tool Contact
Simon.Doe@unisa.edu.au
NanoOne 1000 2 photon printer
2 photon printer
SA Node University of South Australia
Technique
Nanoprinting
Description
2 photon printer
Related Information
Fastest high-resolution 3D printing system on the market.
Tool Contact
Simon.Doe@unisa.edu.au
Juken JMW-027S-20t
Micro-injection moulder
SA Node University of South Australia
Technique
Microinjection moulding
Description
Capable of batch scale production of macro and micro featured plastic components.Used when manufacturing a wide variety of precision plastic components that require a high degree of accuracy and durability.
Related Information
Compatible with various types of thermoplastic and specialising in polymethyl methacrylate (PMMA) and cyclic olefin copolymer (COC)
Tool Contact
Simon.Doe@unisa.edu.au
Desktop Metal Studio System
Metal parts, multiple materials
SA Node University of South Australia
Technique
Metal printing
Description
Metal 3D printer
Related Information
Metal parts, multiple materials
Tool Contact
Simon.Doe@unisa.edu.au
Lulzbot TAZ 5
Cheap desktop filament printer
SA Node University of South Australia
Technique
Fused Deposition Modelling (FDM) system
Description
Fused deposition Modelling (FDM) 3D printer
Related Information
Cheap desktop filament printer
Tool Contact
Simon.Doe@unisa.edu.au
Makerbot Method X
Heated chamber desktop printer
SA Node University of South Australia
Technique
Fused Deposition Modelling (FDM) system
Description
Fused deposition Modelling (FDM) 3D printer
Related Information
Heated chamber desktop printer
Tool Contact
Simon.Doe@unisa.edu.au
Markforged Mark Two
Continuous fibre reinforced plastic parts
SA Node University of South Australia
Technique
Fused Deposition Modelling (FDM) system
Description
Continuous filament fabrication (CFF)
Related Information
Continuous fibre reinforced plastic parts
Tool Contact
Simon.Doe@unisa.edu.au
Stratasys Fortus 450mc
High temperature engineering plastics, large build volume, heated chamber
SA Node University of South Australia
Technique
Fused Deposition Modelling (FDM) system
Description
Fused deposition Modelling (FDM) 3D printer
Related Information
High temperature engineering plastics, large build volume, heated chamber
Tool Contact
Simon.Doe@unisa.edu.au
Kira SuperMill 2M
MicroCNC Mill
SA Node University of South Australia
Technique
Computer Numerical Control (CNC) milling
Description
The system provides true simultaneous 3-dimensional interpretation of structures, 10nm precision on its dual spindles, a 50,000rpm main spindle and a 120,000rpm finishing spindle in a +/- 1 degree Celsius temperature controlled environment.
Related Information
Directly machine channel geometries and features into substrate, to mill hot embossing stamps and jigs that may be used to fabricate microstructured features in devices
Tool Contact
Simon.Doe@unisa.edu.au
Robodrill 3 axis CNC Mill
3 Axis CNC mill
SA Node University of South Australia
Technique
Computer Numerical Control (CNC) milling
Description
3 axis CNC mill
Related Information
Able to precisely machine polymers and metals
Tool Contact
Simon.Doe@unisa.edu.au
Roland MDX-50 CNC Mill
CNC mill for wood and plastics, with an additonal 4th axis for multiside maching
SA Node University of South Australia
Technique
Computer Numerical Control (CNC) milling
Description
3 and 4 axis CNC mill
Related Information
CNC mill for wood and plastics, with an additonal 4th axis for multiside maching
Tool Contact
Simon.Doe@unisa.edu.au
Willemin Macodel
5 axis CNC mill with 2 axis of gripping
SA Node University of South Australia
Technique
Computer Numerical Control (CNC) milling
Description
5 axis turn mill machining centre
Related Information
Multi purpose machining centre dedicated to machining complex geometry parts
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
CadWorks385 Microfluidic device printer
Desktop 3D printer
SA Node University of South Australia
Description
microfluidic device printer
Related Information
Microfluidic applications such as High-Throughput Devices, Lab-On-A chip, PDMS Master Molds, organ-on-a-chip, BioScaffoldings and many more
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Stratasys J735
Polyjet 3D printer
SA Node University of South Australia
Description
Polyjet printer for multi-colour, multi-material printing
Related Information
Multi materials available to provide multi modulus constructs.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Morton Lathe
Manual lathe
SA Node University of South Australia
Description
manual lathe
Related Information
Able to machine polymers and metals
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Turrett Milling Machine
3 Axis manual mill
SA Node University of South Australia
Description
3 axis manual mill
Related Information
Able to machine polymers and metals
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
NanoOne 1000 2 photon printer
2 photon printer
SA Node University of South Australia
Description
2 photon printer
Related Information
Fastest high-resolution 3D printing system on the market.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Juken JMW-027S-20t
Micro-injection moulder
SA Node University of South Australia
Description
Capable of batch scale production of macro and micro featured plastic components.Used when manufacturing a wide variety of precision plastic components that require a high degree of accuracy and durability.
Related Information
Compatible with various types of thermoplastic and specialising in polymethyl methacrylate (PMMA) and cyclic olefin copolymer (COC)
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Desktop Metal Studio System
Metal parts, multiple materials
SA Node University of South Australia
Description
Metal 3D printer
Related Information
Metal parts, multiple materials
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Lulzbot TAZ 5
Cheap desktop filament printer
SA Node University of South Australia
Description
Fused deposition Modelling (FDM) 3D printer
Related Information
Cheap desktop filament printer
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Makerbot Method X
Heated chamber desktop printer
SA Node University of South Australia
Description
Fused deposition Modelling (FDM) 3D printer
Related Information
Heated chamber desktop printer
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Markforged Mark Two
Continuous fibre reinforced plastic parts
SA Node University of South Australia
Description
Continuous filament fabrication (CFF)
Related Information
Continuous fibre reinforced plastic parts
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Stratasys Fortus 450mc
High temperature engineering plastics, large build volume, heated chamber
SA Node University of South Australia
Description
Fused deposition Modelling (FDM) 3D printer
Related Information
High temperature engineering plastics, large build volume, heated chamber
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Kira SuperMill 2M
MicroCNC Mill
SA Node University of South Australia
Description
The system provides true simultaneous 3-dimensional interpretation of structures, 10nm precision on its dual spindles, a 50,000rpm main spindle and a 120,000rpm finishing spindle in a +/- 1 degree Celsius temperature controlled environment.
Related Information
Directly machine channel geometries and features into substrate, to mill hot embossing stamps and jigs that may be used to fabricate microstructured features in devices
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Robodrill 3 axis CNC Mill
3 Axis CNC mill
SA Node University of South Australia
Description
3 axis CNC mill
Related Information
Able to precisely machine polymers and metals
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Roland MDX-50 CNC Mill
CNC mill for wood and plastics, with an additonal 4th axis for multiside maching
SA Node University of South Australia
Description
3 and 4 axis CNC mill
Related Information
CNC mill for wood and plastics, with an additonal 4th axis for multiside maching
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Willemin Macodel
5 axis CNC mill with 2 axis of gripping
SA Node University of South Australia
Description
5 axis turn mill machining centre
Related Information
Multi purpose machining centre dedicated to machining complex geometry parts
Tool Contact
Simon.Doe@unisa.edu.au
Modelling and Device Design
Zeiss Xradia MicroXCT 400
Micron-scale 3D x-ray tomography system
SA Node University of South Australia
Technique
X-ray scanning
Description
Ultra-high resolution CT scanner for 3D visualisation of microscopic sample volumes. A fully automated non-destructive technique with 3D resolution down to 1µm.
Related Information
Offers the ability to view "inside" samples to see critical internal structures, features and defects of biological materials, ceramics, glasses, metals, polymers and semiconductors. As such, its very useful when identifying faults in components, or for part inspection.
Tool Contact
Simon.Doe@unisa.edu.au
Zeiss Xradia NanoXCT L200
Nanometre-scale 3D x-ray tomography system
SA Node University of South Australia
Technique
X-ray scanning
Description
Ultra-high resolution CT scanner for 3D visualisation of microscopic sample volumes with down to 50nm resolution.Outstanding images can be obtained from both low and high atomic number materials, composites, polymers and biological samples without the addition of contrasting agents. The associated software allows calculation of pore size, continuity calculations, density and standard surface metrology evaluation.
Related Information
Offers the ability to view "inside" samples to see critical internal structures, features and defects of biological materials, ceramics, glasses, metals, polymers and semiconductors. As such, its very useful when identifying faults in components, or for part inspection.
Tool Contact
Simon.Doe@unisa.edu.au
Dell Precision 7910
Advanced design and modelling capability suite
SA Node University of South Australia
Technique
Software packages
Description
Computer suite with access to an extensive suite of advanced software tools including Synopsys, Intellisense, Coventor, TannerEDA Solidworks, Inventor, AutoCAD and Computational Fluid Dynamics simulation using ANSYS
Related Information
Commonly used when fabricating microfluidic devices and lab-on-a-chip technologies
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Zeiss Xradia MicroXCT 400
Micron-scale 3D x-ray tomography system
SA Node University of South Australia
Description
Ultra-high resolution CT scanner for 3D visualisation of microscopic sample volumes. A fully automated non-destructive technique with 3D resolution down to 1µm.
Related Information
Offers the ability to view "inside" samples to see critical internal structures, features and defects of biological materials, ceramics, glasses, metals, polymers and semiconductors. As such, its very useful when identifying faults in components, or for part inspection.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Zeiss Xradia NanoXCT L200
Nanometre-scale 3D x-ray tomography system
SA Node University of South Australia
Description
Ultra-high resolution CT scanner for 3D visualisation of microscopic sample volumes with down to 50nm resolution.Outstanding images can be obtained from both low and high atomic number materials, composites, polymers and biological samples without the addition of contrasting agents. The associated software allows calculation of pore size, continuity calculations, density and standard surface metrology evaluation.
Related Information
Offers the ability to view "inside" samples to see critical internal structures, features and defects of biological materials, ceramics, glasses, metals, polymers and semiconductors. As such, its very useful when identifying faults in components, or for part inspection.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Dell Precision 7910
Advanced design and modelling capability suite
SA Node University of South Australia
Description
Computer suite with access to an extensive suite of advanced software tools including Synopsys, Intellisense, Coventor, TannerEDA Solidworks, Inventor, AutoCAD and Computational Fluid Dynamics simulation using ANSYS
Related Information
Commonly used when fabricating microfluidic devices and lab-on-a-chip technologies
Tool Contact
Simon.Doe@unisa.edu.au
Packaging
EVG 520…
Substrate bonder
SA Node University of South Australia
Technique
Wafer bonding
Description
Designed for bonding, embossing and nanoimprinting applications the system can thermally bond glass substrates and emboss channel geometries into substrates.
Related Information
Capable of all wafer bonding processes such as thermo-compression, fusion or low temperature bonding. It is configured to allow hot embossing of polymers and even glass.
Tool Contact
Simon.Doe@unisa.edu.au
Disco DAD 321
Wafer dicer
SA Node University of South Australia
Technique
Wafer dicing
Description
Wafer dicer capable of dicing 6-inch wafers
Related Information
The DISCO DAD 321 dicing saw uses a rotating ultra-thin diamond impregnated blade, in a wet environment, to cut materials such as silicon wafers, III-V semiconductors, glass sheets, ceramic, etc. into almost any shapes with straight edges. Cutting process is controlled automatically through software recipe programming. The machine is also capable of accurate, multi-directional on-screen semiautomatic alignment.
Tool Contact
Simon.Doe@unisa.edu.au
R.D. Webb Red Devil
Vacuum Furnace
SA Node University of South Australia
Technique
Bonding and annealing
Description
High temperature (1,200°C) vacuum or inert gas furnace for bonding and heat treatments.
Related Information
Commonly used for microfluidic devices, or during production of small high-value components.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
EVG 520…
Substrate bonder
SA Node University of South Australia
Description
Designed for bonding, embossing and nanoimprinting applications the system can thermally bond glass substrates and emboss channel geometries into substrates.
Related Information
Capable of all wafer bonding processes such as thermo-compression, fusion or low temperature bonding. It is configured to allow hot embossing of polymers and even glass.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Disco DAD 321
Wafer dicer
SA Node University of South Australia
Description
Wafer dicer capable of dicing 6-inch wafers
Related Information
The DISCO DAD 321 dicing saw uses a rotating ultra-thin diamond impregnated blade, in a wet environment, to cut materials such as silicon wafers, III-V semiconductors, glass sheets, ceramic, etc. into almost any shapes with straight edges. Cutting process is controlled automatically through software recipe programming. The machine is also capable of accurate, multi-directional on-screen semiautomatic alignment.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
R.D. Webb Red Devil
Vacuum Furnace
SA Node University of South Australia
Description
High temperature (1,200°C) vacuum or inert gas furnace for bonding and heat treatments.
Related Information
Commonly used for microfluidic devices, or during production of small high-value components.
Tool Contact
Simon.Doe@unisa.edu.au
Testing and validation
ASPEX PSEM eXpress
Desktop Scanning Electron Microscope (SEM)
SA Node University of South Australia
Technique
Scanning Electron Microscopy (SEM)
Description
A simple to operate SEM with an intuitive user interface. No special sample preparation required, it operates in both low and high vacuum modes and has four settings for accelerating voltage. Suitable for a variety of applications depending upon material.Features a large stage allows travel of up to 80 mm x 120 mm and a magnification of up to 40,000 times is possible.
Related Information
This tool is commonly used for imaging of nanofabricated feature geometries such as microfluidic channels for process control, quality assurance and product validation.
Tool Contact
Simon.Doe@unisa.edu.au
Benchtop Scanning electron microscope
Desktop Scanning Electron Microscope (SEM)
SA Node University of South Australia
Technique
Scanning Electron Microscopy (SEM)
Description
A simple to operate SEM with an intuitive user interface. No special sample preparation required, it operates in both low and high vacuum modes and has four settings for accelerating voltage. Suitable for a variety of applications depending upon material.Features a large stage allows travel of up to 80 mm x 120 mm and a magnification of up to 40,000 times is possible.
Related Information
This tool is commonly used for imaging of nanofabricated feature geometries such as microfluidic channels for process control, quality assurance and product validation.
Tool Contact
Simon.Doe@unisa.edu.au
MTS E21 impact tester
Impact tester for polymers and woods
SA Node University of South Australia
Technique
Physical property analysis
Description
Impact tester
Related Information
Impact tester for polymers and woods
Tool Contact
Simon.Doe@unisa.edu.au
MTS E22 impact tester
Impact tester for metals
SA Node University of South Australia
Technique
Physical property analysis
Description
Impact tester
Related Information
Impact tester for metals
Tool Contact
Simon.Doe@unisa.edu.au
MTS Exceed E44 electromechanical test system
Tensile and compression testing machine with 30kN load cell
SA Node University of South Australia
Technique
Physical property analysis
Description
Tensile and compression testing machine
Related Information
Tensile and compression testing machine with 30kN load cell
Tool Contact
Simon.Doe@unisa.edu.au
MIES
Metastable Induced electron Spectroscopy
Flinders University SA Node
Technique
Other spectroscopy
Description
Electron and ion spectrometer used to characterise the electronic structure of surfaces. The instrument also houses a deposition source to make nanoclusters/nanocatalysts.
Related Information
This tool probes the valence orbitals of only the outermost layer of atoms, allowing for the determination of molecule orientation
Tool Contact
Simon.Doe@unisa.edu.au
Veeco Wyko NT9100
Optical profilometer
SA Node University of South Australia
Technique
Optical profilometry
Description
Provides 3D imaging of channel geometries for process control quality assurance and product validation. Capable of taking sub-nanometre to millimetre-high steps in the z dimension.
Related Information
Coherence scanning interferometry provides fast, accurate and repeatable output. It is used to measure step heights, roughness and surface topography of components.Capable of analysing many materials and components including a range of metals, MEMS, semiconductors and optics.
Tool Contact
Simon.Doe@unisa.edu.au
Olympus MX63 Optical microscope
Optical microscope
SA Node University of South Australia
Technique
Optical microscopy
Description
Optical microscope
Related Information
A fully-integrated microscope system for applications
Tool Contact
Simon.Doe@unisa.edu.au
Lyncee Tec T1001
Digital holographic microscope
SA Node University of South Australia
Technique
Digital holographic microscopy
Description
Widely used for imaging of channel geometries in microfluidic devices for process control quality assurance and product validation. It can be used to assist in the static and dynamic 3D characterisation of samples and quantitative characterisation of cells (including live cells). Gives information on specimen surface, shape, material and internal structure or defects. Other applications include: defect inspection, MEMS measurement, surface topography, surface finish and structured thin films.
Related Information
Provides 3D imaging magnification up to 20,000 x field of view up to 10 cm x 10 cm, digital image capture and analysis.Suitable for transparent or semi-transparent samples.
Tool Contact
Simon.Doe@unisa.edu.au
Olympus OLS5000
Laser confocal microscope
SA Node University of South Australia
Technique
Confocal microscopy
Description
Laser confocal microscope for inspection and surface feature analysis
Related Information
Precisely measures shape and surface roughness at the submicron level
Tool Contact
Simon.Doe@unisa.edu.au
Horiba / Nanonics XploRA / MultiView 4000
Raman confocal microscope and atomic force microscope (AFM) with tip-enhanced Raman spectroscopy (TERS) capability
Flinders University SA Node
Technique
Atomic Force Microscopy (AFM)
Description
Raman spectroscopy system, including a confocal microscope and an atomic force microscope which can perform tip enhanced Raman spectroscopy (TERS)
Related Information
Confocal Raman system with large area scanning stage. Lasers available are 532, 638 and 786nm. TERS option allows spectra to be recorded with a spatial resolution of 90nm.
Tool Contact
Simon.Doe@unisa.edu.au
Artec Eva 3D scanner
3D scanner for large objects
SA Node University of South Australia
Technique
3D Scanner
Description
3D scanner
Related Information
3D scanner for large objects
Tool Contact
Simon.Doe@unisa.edu.au
Artec Space Spider 3D scanner
3D scanner with high resolution for detailed parts
SA Node University of South Australia
Technique
3D Scanner
Description
3D scanner
Related Information
3D scanner with high resolution for detailed parts
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
ASPEX PSEM eXpress
Desktop Scanning Electron Microscope (SEM)
SA Node University of South Australia
Description
A simple to operate SEM with an intuitive user interface. No special sample preparation required, it operates in both low and high vacuum modes and has four settings for accelerating voltage. Suitable for a variety of applications depending upon material.Features a large stage allows travel of up to 80 mm x 120 mm and a magnification of up to 40,000 times is possible.
Related Information
This tool is commonly used for imaging of nanofabricated feature geometries such as microfluidic channels for process control, quality assurance and product validation.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Benchtop Scanning electron microscope
Desktop Scanning Electron Microscope (SEM)
SA Node University of South Australia
Description
A simple to operate SEM with an intuitive user interface. No special sample preparation required, it operates in both low and high vacuum modes and has four settings for accelerating voltage. Suitable for a variety of applications depending upon material.Features a large stage allows travel of up to 80 mm x 120 mm and a magnification of up to 40,000 times is possible.
Related Information
This tool is commonly used for imaging of nanofabricated feature geometries such as microfluidic channels for process control, quality assurance and product validation.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
MTS E21 impact tester
Impact tester for polymers and woods
SA Node University of South Australia
Description
Impact tester
Related Information
Impact tester for polymers and woods
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
MTS E22 impact tester
Impact tester for metals
SA Node University of South Australia
Description
Impact tester
Related Information
Impact tester for metals
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
MTS Exceed E44 electromechanical test system
Tensile and compression testing machine with 30kN load cell
SA Node University of South Australia
Description
Tensile and compression testing machine
Related Information
Tensile and compression testing machine with 30kN load cell
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
MIES
Metastable Induced electron Spectroscopy
SA Node University of South Australia
Description
Electron and ion spectrometer used to characterise the electronic structure of surfaces. The instrument also houses a deposition source to make nanoclusters/nanocatalysts.
Related Information
This tool probes the valence orbitals of only the outermost layer of atoms, allowing for the determination of molecule orientation
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Veeco Wyko NT9100
Optical profilometer
SA Node University of South Australia
Description
Provides 3D imaging of channel geometries for process control quality assurance and product validation. Capable of taking sub-nanometre to millimetre-high steps in the z dimension.
Related Information
Coherence scanning interferometry provides fast, accurate and repeatable output. It is used to measure step heights, roughness and surface topography of components.Capable of analysing many materials and components including a range of metals, MEMS, semiconductors and optics.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Olympus MX63 Optical microscope
Optical microscope
SA Node University of South Australia
Description
Optical microscope
Related Information
A fully-integrated microscope system for applications
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Lyncee Tec T1001
Digital holographic microscope
SA Node University of South Australia
Description
Widely used for imaging of channel geometries in microfluidic devices for process control quality assurance and product validation. It can be used to assist in the static and dynamic 3D characterisation of samples and quantitative characterisation of cells (including live cells). Gives information on specimen surface, shape, material and internal structure or defects. Other applications include: defect inspection, MEMS measurement, surface topography, surface finish and structured thin films.
Related Information
Provides 3D imaging magnification up to 20,000 x field of view up to 10 cm x 10 cm, digital image capture and analysis.Suitable for transparent or semi-transparent samples.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Olympus OLS5000
Laser confocal microscope
SA Node University of South Australia
Description
Laser confocal microscope for inspection and surface feature analysis
Related Information
Precisely measures shape and surface roughness at the submicron level
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Horiba / Nanonics XploRA / MultiView 4000
Raman confocal microscope and atomic force microscope (AFM) with tip-enhanced Raman spectroscopy (TERS) capability
SA Node University of South Australia
Description
Raman spectroscopy system, including a confocal microscope and an atomic force microscope which can perform tip enhanced Raman spectroscopy (TERS)
Related Information
Confocal Raman system with large area scanning stage. Lasers available are 532, 638 and 786nm. TERS option allows spectra to be recorded with a spatial resolution of 90nm.
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Artec Eva 3D scanner
3D scanner for large objects
SA Node University of South Australia
Description
3D scanner
Related Information
3D scanner for large objects
Tool Contact
Simon.Doe@unisa.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Artec Space Spider 3D scanner
3D scanner with high resolution for detailed parts
SA Node University of South Australia
Description
3D scanner
Related Information
3D scanner with high resolution for detailed parts
Tool Contact
Simon.Doe@unisa.edu.au
Experts
Stephen Blakeney
Innovations Manager
Stephen joined the Medical Device Partnering Program as Innovations Manager in 2019. Prior to this he held roles within the Health and Medical team at the Department for Trade and Investment at the Government of South Australia and medical device cluster organisation MedTEC South Australia. Stephen led a South Australian Health and Life Sciences trade mission to China in 2018. Stephen started his career a mechanical design engineer and has previously worked for medical device companies in Australia and the UK, on a variety of devices from Deep Brain Stimulation to ophthalmic devices and laparoscopic surgical equipment so he brings a great breadth of experience to the role.
Read More
Flinders University
Contact Stephen Blakeney
Dr Donghoon Chang
Senior Process Engineer
Donghoon is responsible for the fabrication of glass (fused silica and borosilicate) microfluidic devices. Prior to the PhD study, he worked for Samsung Electronics for 12 years. His work at Samsung included microfabrication of optoelectronic devices, such as vertical cavity laser diodes and PIN photodiodes, and micromachining of silicon optical benches. During his employment at Samsung, he also worked as a patent engineer in the field of microelectromechanical systems (MEMS).
Read More
University of South Australia
Contact Dr Donghoon Chang
Mark Cherrill
Microfabrication Engineer
Prior to his move to Australia, Mark worked in Formula 1 as a CNC machinist/programmer for the Honda Racing Team, for Brawn GP where he completed a BSc in Engineering Design and the Mercedes AMG Petronas Team in R&D. Mark's main focus is on the design and manufacture of microfluidic devices and components using the Kira CNC Micro Milling Machine.
Read More
University of South Australia
Contact Mark Cherrill