ANFF Queensland
Home > Locations
Overview

Through an advanced suite of tools and substantial expertise, ANFF-Qld specialises in microfluidics, organic electronics and optoelectronics, biomaterials, novel semiconductor materials and characterisation.
The node comprises four facilities, two at the University of Queensland and two at Griffith University.

The innovative and integrated institutes and centres that play host to the ANFF-Qld facilities provide a dynamic, exciting and collaborative atmosphere where researchers can get the most from their ideas with the best possible support and world class equipment.

Services include the scale-up prototyping of devices such as organic solar cells, organic light emitting diodes, organic circuit elements, microfluidic devices, bioassay platforms, biomaterial scaffolds, microbioreactors and production of materials for microelectronics to semiconductor-industry standards.

Node Competencies

Specialist fields: Microfluidics; bionanodevice fabrication; microelectronics; advanced photoresist synthesis, purification and characterisation; organic electronic device fabrication and testing (OPV, OLED, OFET, OSensors); functional organic/polymer and bio-inspired nanomaterials synthesis and characterisation (through the National Centre for Functional Organic Synthesis); biopolymer processing and nanocomposites; and SiC processing.

Flagship Facilities: A prototyping inkjet; high-throughput photoresist, monomer, polymer and nanoparticle synthesis units; A semi-automated nanoimprint lithography unit and plasma bonder for surface and device patterning down
to ~10 nm; A deep reactive ion etcher; A state-of-the-art surface and device characterisation suite; Imaging and Raman/AFM characterisation unit; Globally unique epitaxial reactor for SiC on Si wafer production.

Contact

Node Director: Prof Justin Cooper-White
Email: j.cooperwhite@uq.edu.au
Phone: +61 7 3346 3858

Deputy Node Director: Prof Paul Burn
Email: paul.burn@uq.edu.au
Phone: +61 7 3346 7614

Facility Manager: Anthony Christian
Email: a.christian@uq.edu.au
Phone: +61 7 3346 3460

Location
University of Queensland – Australian Institute for Bioengineering and Nanotechnology (AIBN)
Address

Australian National Fabrication Facility – Queensland Node
Level 2 AIBN, Building 75, Room 266
The University of Queensland QLD 4072

University of Queensland – Long Pocket
Address

Level 3, Pandanus Building 1022
80 Meiers Rd
Indooroopilly QLD 4068

University of Queensland – Centre for Organic Photonics & Electronics (COPE)
Address

Level 9, Chemistry Building
68 Cooper Rd
Brisbane City QLD 4072

Griffith University
Address

Griffith University
Nathan Campus
170 Kessels Rd
Nathan QLD 4111

Equipment
Deposition
MBRAUN MBEVAP non-organic
Thermal evaporator for depositing metals
QLD Node University of Queensland
Technique
Thermal evaporation
Description
Evaporates non-organic materials within a controlled N2 glovebox
Related Information
System only used for non-organic materials.
Tool Contact
anff@uq.edu.au
MBRAUN MBEVAP Organic
Thermal evaporator for depositing organic materials
QLD Node University of Queensland
Technique
Thermal evaporation
Description
Evaporates organic materials within a controlled N2 glovebox
Related Information
System only used for organic materials.
Tool Contact
anff@uq.edu.au
AJA International ATC-2200
RF/DC sputtering system
QLD Node University of Queensland
Technique
Sputtering
Description
The system has RF and DC sputter sources in addition to Argon, Oxygen and Nitrogen gases for reactive film deposition.
Related Information
Vacuum Load lock. Argon, nitrogen and oxygen gases available. Substrate heater to 350°C. Wafer size up to 8 inch and wafer holder can be rotated.
Tool Contact
anff@uq.edu.au
Temescal Systems FC-2000
Electron beam evaporator
QLD Node University of Queensland
Technique
Electron Beam Evaporation (E-Beam Evaporation)
Description
Can perform thermal and e-beam evaporation on multiple wafers at the same time. Features six source pockets for multiple layer coatings.
Related Information
Available evaporation materials are: Al, Ni, Cr, Ag, Au, Ti, Cu, NiO, TiO2, MoO3, Al2O3 and ITO alongside 2 reactive gases. Features a Load Lock. Can hold up to 13 x 4 inch wafers. Substrate heater up to 300°C. E-gun power is 10kV
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
MBRAUN MBEVAP non-organic
Thermal evaporator for depositing metals
QLD Node University of Queensland
Description
Evaporates non-organic materials within a controlled N2 glovebox
Related Information
System only used for non-organic materials.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
MBRAUN MBEVAP Organic
Thermal evaporator for depositing organic materials
QLD Node University of Queensland
Description
Evaporates organic materials within a controlled N2 glovebox
Related Information
System only used for organic materials.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
AJA International ATC-2200
RF/DC sputtering system
QLD Node University of Queensland
Description
The system has RF and DC sputter sources in addition to Argon, Oxygen and Nitrogen gases for reactive film deposition.
Related Information
Vacuum Load lock. Argon, nitrogen and oxygen gases available. Substrate heater to 350°C. Wafer size up to 8 inch and wafer holder can be rotated.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Temescal Systems FC-2000
Electron beam evaporator
QLD Node University of Queensland
Description
Can perform thermal and e-beam evaporation on multiple wafers at the same time. Features six source pockets for multiple layer coatings.
Related Information
Available evaporation materials are: Al, Ni, Cr, Ag, Au, Ti, Cu, NiO, TiO2, MoO3, Al2O3 and ITO alongside 2 reactive gases. Features a Load Lock. Can hold up to 13 x 4 inch wafers. Substrate heater up to 300°C. E-gun power is 10kV
Tool Contact
anff@uq.edu.au
Etching
Idonus HF Vapour Etch
HF Vapour Etcher
QLD Node University of Queensland
Technique
Vapour phase etching
Description
Performs dry etching of SiO2. HF vapour chemically etches SIO2 while substrate is heated
Related Information
Allows stiction free release of mechanical structures.
Tool Contact
anff@uq.edu.au
Oxford Instruments PlasmaPro NGP80
Reactive ion etcher (RIE)
QLD Node University of Queensland
Technique
Reactive Ion Etching (RIE)
Description
Dry etching of materials using CF4, CHF3, SF6, O2, or Argon gases
Related Information
Etching of silicon-based materials systems such as SiO2, Si, SiN, SiC. Sample size 4 - 8 inch wafer.
Tool Contact
anff@uq.edu.au
Unknown Prog 200 RIE
Reactive ion etcher (RIE)
Griffith University QLD Node
Technique
Reactive Ion Etching (RIE)
Description
Used to etch various materials, such as silicon dioxide, silicon nitride and polymers
Related Information
Just re located to GU, intention is to have it running again.
Tool Contact
glenn.walker@griffith.edu.au
LAM Autoetch 480
Plasma Asher
Griffith University QLD Node
Technique
Plasma etching
Description
Plasma etching of 6 inch wafers using a semi-isotropic dry etch process. Can produce features of 3µm.
Related Information
Utilises SF6 and O. Used to etch SiC, SiN and SiO2 and polymer resists.
Tool Contact
glenn.walker@griffith.edu.au
Plasma-Therm Versaline
Deep reactive ion etcher (DRIE)
QLD Node University of Queensland
Technique
Deep Reactive Ion Etching (DRIE)
Description
Controlled dry anisotropic etching of silicon wafers up to 6 inches in diameter utilising the Bosch process. The deep silicon etching (DSE) process alternates between deposition and isotropic etching in a chamber with an ICP configuration.
Related Information
Etching of silicon only. The deposition gas is typically C4F8 and the etching gas is commonly SF6. Gases available: SF6, C4F8, argon and oxygen. Substrate is 6 inch wafer. Fast silicon etch rate of 3 - 5 µm/min with a deep vertical wall.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Idonus HF Vapour Etch
HF Vapour Etcher
QLD Node University of Queensland
Description
Performs dry etching of SiO2. HF vapour chemically etches SIO2 while substrate is heated
Related Information
Allows stiction free release of mechanical structures.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Oxford Instruments PlasmaPro NGP80
Reactive ion etcher (RIE)
QLD Node University of Queensland
Description
Dry etching of materials using CF4, CHF3, SF6, O2, or Argon gases
Related Information
Etching of silicon-based materials systems such as SiO2, Si, SiN, SiC. Sample size 4 - 8 inch wafer.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Unknown Prog 200 RIE
Reactive ion etcher (RIE)
QLD Node University of Queensland
Description
Used to etch various materials, such as silicon dioxide, silicon nitride and polymers
Related Information
Just re located to GU, intention is to have it running again.
Tool Contact
glenn.walker@griffith.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
LAM Autoetch 480
Plasma Asher
QLD Node University of Queensland
Description
Plasma etching of 6 inch wafers using a semi-isotropic dry etch process. Can produce features of 3µm.
Related Information
Utilises SF6 and O. Used to etch SiC, SiN and SiO2 and polymer resists.
Tool Contact
glenn.walker@griffith.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Plasma-Therm Versaline
Deep reactive ion etcher (DRIE)
QLD Node University of Queensland
Description
Controlled dry anisotropic etching of silicon wafers up to 6 inches in diameter utilising the Bosch process. The deep silicon etching (DSE) process alternates between deposition and isotropic etching in a chamber with an ICP configuration.
Related Information
Etching of silicon only. The deposition gas is typically C4F8 and the etching gas is commonly SF6. Gases available: SF6, C4F8, argon and oxygen. Substrate is 6 inch wafer. Fast silicon etch rate of 3 - 5 µm/min with a deep vertical wall.
Tool Contact
anff@uq.edu.au
Laboratory Infrastructure
MBraun MB200
Glovebox with solvent purification system
QLD Node University of Queensland
Technique
Gloveboxes
Description
Controlled isolated atmosphere for oxygen and moisture sensitive materials.
Related Information
Specialised tool for inert environment reactions using most materials, Oxygen and moisture levels at <1ppm. Contains balance, stirrers, hotplate and freezer. Direct feed from solvent purification system.
Tool Contact
anff@uq.edu.au
MBRAUN MBUV-O3
Glovebox with UV ozone cleaner
QLD Node University of Queensland
Technique
Gloveboxes
Description
Used for wafer cleaning or surface functionalisation.
Related Information
Housed inside an N2 environment to prevent oxidation of surfaces.
Tool Contact
anff@uq.edu.au
Fumehoods Process specific
Fumehoods for lithography processes
QLD Node University of Queensland
Technique
Fumehoods
Description
This recirculating fume cupboard houses a spin coater, a hot plate, and a development station which are used for processing AZ photoresists.
Related Information
Standard equipment for photolithography, such as spin coating AZ photoresists onto various substrates. Can be used to support a range of applications including MEMS, photonics, microfluidics, micropatterning, optics, electronics etc
Tool Contact
anff@uq.edu.au
Cleanroom ISO 6
Class 10,000 cleanroom space
QLD Node University of Queensland
Technique
Cleanroom spaces
Description
A 26m2 cleanroom located in the UQ Long Pocket campus
Related Information
ANFF-QLD is host to several cleanroom facilities to provide a controlled environment for temperature and humidity for processes that require to be dust free. This one is specifically for soft lithography fabrication processes and diffusion.
Tool Contact
anff@uq.edu.au
Cleanroom ISO 7
Class 10,000 cleanroom space
QLD Node University of Queensland
Technique
Cleanroom spaces
Description
A 125m2 located in the Australian Institute for Bioengineering and Nanotechnology
Related Information
Cleanroom facilities to provide a controlled environment for temperature and humidity for processes that require to be dust free.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
MBraun MB200
Glovebox with solvent purification system
QLD Node University of Queensland
Description
Controlled isolated atmosphere for oxygen and moisture sensitive materials.
Related Information
Specialised tool for inert environment reactions using most materials, Oxygen and moisture levels at <1ppm. Contains balance, stirrers, hotplate and freezer. Direct feed from solvent purification system.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
MBRAUN MBUV-O3
Glovebox with UV ozone cleaner
QLD Node University of Queensland
Description
Used for wafer cleaning or surface functionalisation.
Related Information
Housed inside an N2 environment to prevent oxidation of surfaces.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Fumehoods Process specific
Fumehoods for lithography processes
QLD Node University of Queensland
Description
This recirculating fume cupboard houses a spin coater, a hot plate, and a development station which are used for processing AZ photoresists.
Related Information
Standard equipment for photolithography, such as spin coating AZ photoresists onto various substrates. Can be used to support a range of applications including MEMS, photonics, microfluidics, micropatterning, optics, electronics etc
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Cleanroom ISO 6
Class 10,000 cleanroom space
QLD Node University of Queensland
Description
A 26m2 cleanroom located in the UQ Long Pocket campus
Related Information
ANFF-QLD is host to several cleanroom facilities to provide a controlled environment for temperature and humidity for processes that require to be dust free. This one is specifically for soft lithography fabrication processes and diffusion.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Cleanroom ISO 7
Class 10,000 cleanroom space
QLD Node University of Queensland
Description
A 125m2 located in the Australian Institute for Bioengineering and Nanotechnology
Related Information
Cleanroom facilities to provide a controlled environment for temperature and humidity for processes that require to be dust free.
Tool Contact
anff@uq.edu.au
Lithography
SSE OPTIcoat ST22
Resist developer
Griffith University QLD Node
Technique
Spin coating and wafer development
Description
Uses a vacuum chuck to spin the wafer whilst a specific amount of photoresist is deposited on the surface of the substrate. Spin speeds and volumes are variable to allow for different thickness and uniformity requirements.
Related Information
An essential part of photolithography.
Tool Contact
glenn.walker@griffith.edu.au
MBraun Solvent Purification System (SPS)
Solvent purifier
QLD Node University of Queensland
Technique
Purification and drying
Description
A convenient way to provide dry solvents for synthesis work. Directly connected to an environmentally controlled glovebox.
Related Information
Delivers the following solvents pure with oxygen and moisture levels <1.0 ppm: dichloromethane, diethyl ether tetrahydrofuran, toluene and dimethyl formamide.
Tool Contact
anff@uq.edu.au
KURABO V300SS
Planetary mixer
QLD Node University of Queensland
Technique
PDMS moulding
Description
Rapidly mix and degas polymers for further processing
Related Information
Can handle PDMS for microfluidic device casting
Tool Contact
anff@uq.edu.au
EVG 620
Mask aligner and resist exposure system
QLD Node University of Queensland
Technique
Multiple mask lithography
Description
Multilayer exposures of photoresists through a mask. Can resolve alignment marks down to 1µm and perform front and backside alignment on both 4 and 6 inch wafers.
Related Information
Any photoresist that can be exposed with 365 and 405nm.The system provides controlled pressure between the mask and the resist coated substrate. A shutter opens and closes providing a specific dose of UV to the exposed resist.
Tool Contact
anff@uq.edu.au
Neutronix Quintel
Mask aligner and resist exposure system
Griffith University QLD Node
Technique
Multiple mask lithography
Description
Creates structures of down to 1μm and perform front side alignment on both 4 and 6 inch wafers.
Related Information
Can be used with any photoresist that can be exposed with 365 and 405nm.
Tool Contact
glenn.walker@griffith.edu.au
EVG 520
Hot embossing tool for 6 inch wafers
QLD Node University of Queensland
Technique
Hot embossing
Description
Can emboss wafers of up to 6-inch diameter. Can also perform bonding such as anodic bonding, fusion bonding, eutectic bonding and epoxy bonding.
Related Information
Used for controlled embossing into substrates such as polycarbonate and COC. Can also perform wafer bonding. Temperature of 650°C and pressures of 60kN are possible. Power supply for anodic bonding: 0 – 2.000 V/50 mA
Tool Contact
anff@uq.edu.au
Harrick Plasma PDC-002
Plasma cleaner
QLD Node University of Queensland
Technique
Cleaning
Description
For nanoscale surface cleaning and surface activation. Used when making a glass-PDMS or PDMS-PDMS microfluidic chip
Related Information
Provides an organic material etch rate of 5-10 nm/min
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
SSE OPTIcoat ST22
Resist developer
QLD Node University of Queensland
Description
Uses a vacuum chuck to spin the wafer whilst a specific amount of photoresist is deposited on the surface of the substrate. Spin speeds and volumes are variable to allow for different thickness and uniformity requirements.
Related Information
An essential part of photolithography.
Tool Contact
glenn.walker@griffith.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
MBraun Solvent Purification System (SPS)
Solvent purifier
QLD Node University of Queensland
Description
A convenient way to provide dry solvents for synthesis work. Directly connected to an environmentally controlled glovebox.
Related Information
Delivers the following solvents pure with oxygen and moisture levels <1.0 ppm: dichloromethane, diethyl ether tetrahydrofuran, toluene and dimethyl formamide.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
KURABO V300SS
Planetary mixer
QLD Node University of Queensland
Description
Rapidly mix and degas polymers for further processing
Related Information
Can handle PDMS for microfluidic device casting
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
EVG 620
Mask aligner and resist exposure system
QLD Node University of Queensland
Description
Multilayer exposures of photoresists through a mask. Can resolve alignment marks down to 1µm and perform front and backside alignment on both 4 and 6 inch wafers.
Related Information
Any photoresist that can be exposed with 365 and 405nm.The system provides controlled pressure between the mask and the resist coated substrate. A shutter opens and closes providing a specific dose of UV to the exposed resist.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Neutronix Quintel
Mask aligner and resist exposure system
QLD Node University of Queensland
Description
Creates structures of down to 1μm and perform front side alignment on both 4 and 6 inch wafers.
Related Information
Can be used with any photoresist that can be exposed with 365 and 405nm.
Tool Contact
glenn.walker@griffith.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
EVG 520
Hot embossing tool for 6 inch wafers
QLD Node University of Queensland
Description
Can emboss wafers of up to 6-inch diameter. Can also perform bonding such as anodic bonding, fusion bonding, eutectic bonding and epoxy bonding.
Related Information
Used for controlled embossing into substrates such as polycarbonate and COC. Can also perform wafer bonding. Temperature of 650°C and pressures of 60kN are possible. Power supply for anodic bonding: 0 – 2.000 V/50 mA
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Harrick Plasma PDC-002
Plasma cleaner
QLD Node University of Queensland
Description
For nanoscale surface cleaning and surface activation. Used when making a glass-PDMS or PDMS-PDMS microfluidic chip
Related Information
Provides an organic material etch rate of 5-10 nm/min
Tool Contact
anff@uq.edu.au
Manufacturing and machining
Ultimaker 2 Extended +
Fused filament fabrication (FFF) 3D printer
QLD Node University of Queensland
Technique
Plastic printing
Description
Fabrication of 3D structures down to 100μm in size.
Related Information
uses PLA, ABS materials to print various print models
Tool Contact
anff@uq.edu.au
Nanoscribe Photonic Professional GT
100-nanometre resolution 3D printer
QLD Node University of Queensland
Technique
Nanoprinting
Description
3D Printing with a minimum voxel size of 100 x 350 nm. The dual photon technology is used to construct high resolution and complex structures in polymers (such as AZ resist, SU8 and proprietary IP resists from Nanoscribe).
Related Information
Uses a IR femto second laser to concentrate pulses to within a small polymerisation volume equivalent to UV light. Within this volume polymerisation occurs to create nanoscale 3D structures. Resists sensitive to UV light can be used. Substrates can be up to 5 inch square.
Tool Contact
anff@uq.edu.au
Trotec Speedy 360
Trotec laser engraver
QLD Node University of Queensland
Technique
Laser engraving and cutting
Description
Engrave or cut a variety of materials using a CO2 laser
Related Information
Create microfluidic device on PDMS and PMMA with a resolution of ~5µm
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Ultimaker 2 Extended +
Fused filament fabrication (FFF) 3D printer
QLD Node University of Queensland
Description
Fabrication of 3D structures down to 100μm in size.
Related Information
uses PLA, ABS materials to print various print models
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Nanoscribe Photonic Professional GT
100-nanometre resolution 3D printer
QLD Node University of Queensland
Description
3D Printing with a minimum voxel size of 100 x 350 nm. The dual photon technology is used to construct high resolution and complex structures in polymers (such as AZ resist, SU8 and proprietary IP resists from Nanoscribe).
Related Information
Uses a IR femto second laser to concentrate pulses to within a small polymerisation volume equivalent to UV light. Within this volume polymerisation occurs to create nanoscale 3D structures. Resists sensitive to UV light can be used. Substrates can be up to 5 inch square.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Trotec Speedy 360
Trotec laser engraver
QLD Node University of Queensland
Description
Engrave or cut a variety of materials using a CO2 laser
Related Information
Create microfluidic device on PDMS and PMMA with a resolution of ~5µm
Tool Contact
anff@uq.edu.au
Materials Synthesis and Modification
Yield Engineering Systems (YES) 310TA
HDMS oven
Griffith University QLD Node
Technique
Silanisation
Description
A HMDS vapour is heated to 150°C in a vacuum chamber and modifies the surface of the substrate in a controlled and reproducible manner.
Related Information
Used to promote adhesion of photoresist to standard semiconductor substrates. Priming is effective for approximately 3 weeks.
Tool Contact
glenn.walker@griffith.edu.au
Custom SPT Micro EpiFlx
Large batch production reactor for SiC Deposition
Griffith University QLD Node
Technique
Reactor
Description
Global standard for thin epitaxial thin films of SiC. Can process wafers of up to 300mm Si wafers with a uniformity of sub-1%.
Related Information
Production reactor for the deposition of SiC on Si for MEMs, photonic and mechanical exploitation of SiC.
Tool Contact
glenn.walker@griffith.edu.au
MBraun Solvent Purification System (SPS)
Solvent purifier
QLD Node University of Queensland
Technique
Purification and drying
Description
A convenient way to provide dry solvents for synthesis work. Directly connected to an environmentally controlled glovebox.
Related Information
Delivers the following solvents pure with oxygen and moisture levels <1.0 ppm: dichloromethane, diethyl ether tetrahydrofuran, toluene and dimethyl formamide.
Tool Contact
anff@uq.edu.au
Waters Alliance 2695 Separations Module
Liquid chromatography mass spectrometry (LC-MS) system
QLD Node University of Queensland
Technique
Liquid Chromatography (LC)
Description
Technique for the separation and detection of compounds using various mobile phases with a UV detector coupled with a mass spectrometer.
Related Information
Micromass Quattro micro API. LC-MS range of 20-2000 Da. Standard C18 column in use. Can be used for the separation of pharmaceutical and biopharmaceutical samples, proteins, peptides for further analysis. Columns separate samples based on ionic, aqueous and organic based environment.
Tool Contact
anff@uq.edu.au
Waters 1515 Isocratic HPLC Pump
Gel permeation chromatography (GPC) for organic materials
QLD Node University of Queensland
Technique
Gel permeation chromatography (GPC)
Description
Separation and analysis of molecular weight and PDI of organic compounds or polymers that have been dissolved in Tetrahydrofuran (THF).
Related Information
Used to analyse biopolymers and during the synthesis of organic compounds. Maximum molecular weight range of 500 to 1.5M Daltons (detector dependant).
Tool Contact
anff@uq.edu.au
Agilent Technologies 1260 Infinity (GPC)
Aqueous gel permeation chromatography (GPC) system with RI, UV, viscosity and light scattering detectors
QLD Node University of Queensland
Technique
Gel permeation chromatography (GPC)
Description
Four detector system to separate and analyse molecular weight and PDI of water soluble compounds or polymers.
Related Information
Commonly used to study biomaterials with a maximum molecular weight range of 500 to 1.2M Daltons.
Tool Contact
anff@uq.edu.au
Hitech CTF/150/3Z/1200C
Oxidation Furnace
QLD Node University of Queensland
Technique
Furnaces
Description
Dry thermal oxidation produces high integrity oxides compared to sputtered, evaporated or PECVD films. Dry thermal oxidation of silicon to create SiO2 films up to 300 nm thick. The temperature and gas flow are tightly controlled.
Related Information
Silicon is heated to more than 1,000°C in an oxygen rich environment. Highly customisable gas flow and temperature profiles.Sample size of up to 6" wafers. Up to 25 wafers per run. Maximum temperature of 1200°C.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Yield Engineering Systems (YES) 310TA
HDMS oven
QLD Node University of Queensland
Description
A HMDS vapour is heated to 150°C in a vacuum chamber and modifies the surface of the substrate in a controlled and reproducible manner.
Related Information
Used to promote adhesion of photoresist to standard semiconductor substrates. Priming is effective for approximately 3 weeks.
Tool Contact
glenn.walker@griffith.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Custom SPT Micro EpiFlx
Large batch production reactor for SiC Deposition
QLD Node University of Queensland
Description
Global standard for thin epitaxial thin films of SiC. Can process wafers of up to 300mm Si wafers with a uniformity of sub-1%.
Related Information
Production reactor for the deposition of SiC on Si for MEMs, photonic and mechanical exploitation of SiC.
Tool Contact
glenn.walker@griffith.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
MBraun Solvent Purification System (SPS)
Solvent purifier
QLD Node University of Queensland
Description
A convenient way to provide dry solvents for synthesis work. Directly connected to an environmentally controlled glovebox.
Related Information
Delivers the following solvents pure with oxygen and moisture levels <1.0 ppm: dichloromethane, diethyl ether tetrahydrofuran, toluene and dimethyl formamide.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Waters Alliance 2695 Separations Module
Liquid chromatography mass spectrometry (LC-MS) system
QLD Node University of Queensland
Description
Technique for the separation and detection of compounds using various mobile phases with a UV detector coupled with a mass spectrometer.
Related Information
Micromass Quattro micro API. LC-MS range of 20-2000 Da. Standard C18 column in use. Can be used for the separation of pharmaceutical and biopharmaceutical samples, proteins, peptides for further analysis. Columns separate samples based on ionic, aqueous and organic based environment.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Waters 1515 Isocratic HPLC Pump
Gel permeation chromatography (GPC) for organic materials
QLD Node University of Queensland
Description
Separation and analysis of molecular weight and PDI of organic compounds or polymers that have been dissolved in Tetrahydrofuran (THF).
Related Information
Used to analyse biopolymers and during the synthesis of organic compounds. Maximum molecular weight range of 500 to 1.5M Daltons (detector dependant).
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Agilent Technologies 1260 Infinity (GPC)
Aqueous gel permeation chromatography (GPC) system with RI, UV, viscosity and light scattering detectors
QLD Node University of Queensland
Description
Four detector system to separate and analyse molecular weight and PDI of water soluble compounds or polymers.
Related Information
Commonly used to study biomaterials with a maximum molecular weight range of 500 to 1.2M Daltons.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Hitech CTF/150/3Z/1200C
Oxidation Furnace
QLD Node University of Queensland
Description
Dry thermal oxidation produces high integrity oxides compared to sputtered, evaporated or PECVD films. Dry thermal oxidation of silicon to create SiO2 films up to 300 nm thick. The temperature and gas flow are tightly controlled.
Related Information
Silicon is heated to more than 1,000°C in an oxygen rich environment. Highly customisable gas flow and temperature profiles.Sample size of up to 6" wafers. Up to 25 wafers per run. Maximum temperature of 1200°C.
Tool Contact
anff@uq.edu.au
Modelling and Device Design
Suite CAD Computing
Computers for CAD modelling
QLD Node University of Queensland
Technique
Computers
Description
Workstations that host various software packages to support design and analysis. Packages include Solidworks, L-Edit, ACE3D, Intellisuite, COMSOL.
Related Information
Training is also available for software packages through ANFF.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Suite CAD Computing
Computers for CAD modelling
QLD Node University of Queensland
Description
Workstations that host various software packages to support design and analysis. Packages include Solidworks, L-Edit, ACE3D, Intellisuite, COMSOL.
Related Information
Training is also available for software packages through ANFF.
Tool Contact
anff@uq.edu.au
Packaging
Kulicke & Soffa 4526 Wedge Bonder
Wedge Bonder
QLD Node University of Queensland
Technique
Wire bonding
Description
Wire bonder for power semiconductors, automotive power modules and industrial hybrids and prototypes
Related Information
Ultrasonically bond round aluminium wires from 25 to 500 microns in diameter (1-20 mils) and use the PowerRibbon® process to ultrasonically bond aluminium ribbons from 500 x 100 to 2000 x 300 microns in cross-section (20 x 4 - 80 x 12 mils).
Tool Contact
anff@uq.edu.au
TPT HB16
Wedge and ball bonder
QLD Node University of Queensland
Technique
Wire bonding
Description
Wire Bonder with motorised Z- and Y-Axis and is capable of performing both wedge and ball bonding.
Related Information
Wire materials - Gold, silver, aluminium, copper. Wire sizes 17 - 75 µm. Ribbon sizes up to 25x250 µm. Deep access wedge 16mm and ball 13mm.
Tool Contact
anff@uq.edu.au
EVG 520
Hot embossing tool for 6 inch wafers
QLD Node University of Queensland
Technique
Hot embossing
Description
Can emboss wafers of up to 6-inch diameter. Can also perform bonding such as anodic bonding, fusion bonding, eutectic bonding and epoxy bonding.
Related Information
Used for controlled embossing into substrates such as polycarbonate and COC. Can also perform wafer bonding. Temperature of 650°C and pressures of 60kN are possible. Power supply for anodic bonding: 0 – 2.000 V/50 mA
Tool Contact
anff@uq.edu.au
ADT Provectus 7100
Wafer dicer
QLD Node University of Queensland
Technique
Wafer dicing
Description
Fully programmable dicing saw capable of dicing up to 8 inch wafers.
Related Information
Provides high precision dicing, best performing matrix for hard, brittle and composite materials. Can dice hard and thick materials. Saw blade minimal width of 20 µm. Axis resolution in Y of 200 nm and Z of 200nm. Speed up to 350 mm/s.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Kulicke & Soffa 4526 Wedge Bonder
Wedge Bonder
QLD Node University of Queensland
Description
Wire bonder for power semiconductors, automotive power modules and industrial hybrids and prototypes
Related Information
Ultrasonically bond round aluminium wires from 25 to 500 microns in diameter (1-20 mils) and use the PowerRibbon® process to ultrasonically bond aluminium ribbons from 500 x 100 to 2000 x 300 microns in cross-section (20 x 4 - 80 x 12 mils).
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TPT HB16
Wedge and ball bonder
QLD Node University of Queensland
Description
Wire Bonder with motorised Z- and Y-Axis and is capable of performing both wedge and ball bonding.
Related Information
Wire materials - Gold, silver, aluminium, copper. Wire sizes 17 - 75 µm. Ribbon sizes up to 25x250 µm. Deep access wedge 16mm and ball 13mm.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
EVG 520
Hot embossing tool for 6 inch wafers
QLD Node University of Queensland
Description
Can emboss wafers of up to 6-inch diameter. Can also perform bonding such as anodic bonding, fusion bonding, eutectic bonding and epoxy bonding.
Related Information
Used for controlled embossing into substrates such as polycarbonate and COC. Can also perform wafer bonding. Temperature of 650°C and pressures of 60kN are possible. Power supply for anodic bonding: 0 – 2.000 V/50 mA
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
ADT Provectus 7100
Wafer dicer
QLD Node University of Queensland
Description
Fully programmable dicing saw capable of dicing up to 8 inch wafers.
Related Information
Provides high precision dicing, best performing matrix for hard, brittle and composite materials. Can dice hard and thick materials. Saw blade minimal width of 20 µm. Axis resolution in Y of 200 nm and Z of 200nm. Speed up to 350 mm/s.
Tool Contact
anff@uq.edu.au
Testing and validation
Anton Paar SurPASS
Streaming potential measurement
QLD Node University of Queensland
Technique
Zeta potential analysis
Description
Simple to use equipment to measure surface charge of solid surface, powder and fibre.
Related Information
Sample holders for solid substrates and powders/particles/fibres.
Tool Contact
anff@uq.edu.au
J. A. Woollam VUV VASE (Gen-II)
Variable angle spectroscopic ellipsometer
QLD Node University of Queensland
Technique
Spectroscopic ellipsometry
Description
Versatile, easy to use ellipsometer for measurement of optical properties, film thickness and refractive index.
Related Information
Sample substrates up to 50 mm. Spectral range of 140 - 1700 nm. Incident angle between 40 - 90° using XY stage.
Tool Contact
anff@uq.edu.au
Nanospec AFT 180
Microspectrophotometer
Griffith University QLD Node
Technique
Spectrophotometry
Description
Optical film measurement
Related Information
More information to come.
Tool Contact
glenn.walker@griffith.edu.au
Jeol IT-300
Scanning Electron Microscopy (SEM)
QLD Node University of Queensland
Technique
Scanning Electron Microscopy (SEM)
Description
Tungsten filament SEM used to image samples up to 6 inches in diameter with a resolution of 3 nm at 30kV.
Related Information
Jeol platinum sputtering system available. All sample can be used once they are fixed on a substrate and do not outgas. Located in cleanroom to support cleanroom fabrication processes.
Tool Contact
anff@uq.edu.au
Jeol NeoSCOPE
Desktop Scanning Electron Microscope (SEM)
QLD Node University of Queensland
Technique
Scanning Electron Microscopy (SEM)
Description
Desktop SEM used to image small conductive samples, up to 70 mm in diameter.
Related Information
Capable of imaging most materials with a conductive layer. Magnification 10x - 40000x. Sample size 70 mm diameter, 4 inch wafer. Acceleration voltage of 15 kV, 10 kV and 5 kV.
Tool Contact
anff@uq.edu.au
Mettler Toledo DMA/SDTA861e
Dynamic mechanical analyser
QLD Node University of Queensland
Technique
Physical property analysis
Description
Investigates the dynamic mechanical behaviour of a material sample as a function of frequency and temperature.
Related Information
Temperature range of -150°C - 500°C with an accuracy of 0.5 K. Maximum peak force of 12N, 18N or 40N and minimum peak force of 0.005N. Frequency range of 0.001 - 1000Hz.
Tool Contact
anff@uq.edu.au
Mettler Toledo DSC 1 STARe System
Differential scanning calorimetry
QLD Node University of Queensland
Technique
Physical property analysis
Description
A system capable of analysing thermal properties of both organic and inorganic materials including glass transition temperature, enthalpy of melting, heat capacity, and thermal degradation.
Related Information
Temperature range ambient to 550°C with a 0.02K precision. Autosampler.
Tool Contact
anff@uq.edu.au
Mettler Toledo TGA/DSC 1 STAR e
Thermogravimetric analysis
QLD Node University of Queensland
Technique
Physical property analysis
Description
Evaluate stepwise changes in mass of polymers and organic compounds with temperature. Also combined with Differential scanning calorimetry to determine the temperature profile of the sample.
Related Information
Observes weight loss of organic and polymer compounds with temperature up to 500°C. Transition temperatures can also be determined.
Tool Contact
anff@uq.edu.au
Tecan Infinite 200
Multi-mode microplate reader
QLD Node University of Queensland
Technique
Photometric readers
Description
Simple and quick measurements of absorbance and fluorescence in a microplate format.
Related Information
Standard plate formats from 6 to 384 well. UV-Vis measurement range 230-1000 nm. Fluorescence measurement using excitation from 230-600 nm and emission from 330-600 nm.
Tool Contact
anff@uq.edu.au
KLA Surfscan 7700
Wafer inspection system
Griffith University QLD Node
Technique
Other spectroscopy
Description
Fast, fully automatic, jig to jig, non-contact surface measurement. Particle Sensitivity 150nm. Processes Si wafers of 150mm - 675µm
Related Information
Used to determine defects on bare Si, coated Si and patterned wafers. Calibrated by diameter latex spheres on bare silicon.
Tool Contact
glenn.walker@griffith.edu.au
Thermo Fisher Scientific Nicolet Almega XR
Dispersive Raman Microscope
QLD Node University of Queensland
Technique
Other spectroscopy
Description
Profiles large areas of samples of polymers, coal, and other organic materials.
Related Information
Fourier Transform Raman spectrometer (1064 nm Laser). Collects Raman spectra between 400 - 4000cm-1
Tool Contact
anff@uq.edu.au
KLA Zeta 300
Optical profilometer
QLD Node University of Queensland
Technique
Optical profilometry
Description
System features an automated stage and provides quick and flexible profiling of surface topography. The technique allows for superior capture of angled surfaces when compared to interferometric techniques. Used for 3D images using a shallow depth of field objective. This is then reconstructed into a 3D image.
Related Information
Measures multilayer film thickness, surface roughness and step heights, CD measurement and 3D profiling. Automated metrology and data analysis. Substrate size up to 6 inch wafer and 100 mm thick. Z resolution of 1 nm. Lateral resolution >370 nm at 150x.
Tool Contact
anff@uq.edu.au
Veeco Wyko NT1100
Optical profilometer
QLD Node University of Queensland
Technique
Optical profilometry
Description
For optical 3D profiling of samples and surface roughness measurements of most materials. Uses an interference technique to construct 3D images of nanofabricated structures
Related Information
Substrates with a scan area of 2 x 2.5 mm. White light interferometer for vertical measurement range of 0.1 nm to 2 mm with a vertical resolution 1 < 1 Å.
Tool Contact
anff@uq.edu.au
Harvard Apparatus Pump 33 DDS
Microfluidic syringe pump
QLD Node University of Queensland
Technique
Microfluidic device analysis
Description
The Pump 33 DDS has two independent pumping channels controlled by an intuitive touch screen interface.
Related Information
Provides precise control of up to 4 channels within a complex microfluidic device
Tool Contact
anff@uq.edu.au
NIKON Ti-U and V9.1
Microfluidic characterisation station – attached to Nikon Eclipse Ti-U optical microscope
QLD Node University of Queensland
Technique
High Speed Camera
Description
Inverted light microscope with epi fluorescence and attached high speed camera which allows analysis of moving particles.
Related Information
High frame rate camera captures images of dynamic sample being imaged. Frame rate up to 1000 frames per second at highest resolution. 6 channel LED light generator. Five emission filters.
Tool Contact
anff@uq.edu.au
Agilent Technologies CARY 630 FTIR
Fourier transform infrared (FTIR) spectrometer
QLD Node University of Queensland
Technique
Fourier Transform Infrared (FTIR) Spectroscopy
Description
Examines chemical compositions of synthetic organic compounds and polymers using user-friendly software. Modules available; Transmission, Diamond ATR, Germanium ATR and TumblIR.
Related Information
Spectral range of 400-4000 cm-1. Can analyse liquids, powders and films.
Tool Contact
anff@uq.edu.au
Thermo Fisher Scientific Nicolet 5700
Fourier transform infrared (FTIR) spectrometer
QLD Node University of Queensland
Technique
Fourier Transform Infrared (FTIR) Spectroscopy
Description
Used to identify chemical composition of organic compounds and polymers.
Related Information
Fourier Transform Infrared spectrometer with an attenuated total reflectance accessory. Collects IR spectra of materials (4,000 – 10,000cm-1).
Tool Contact
anff@uq.edu.au
Agilent Technologies Agilent B1500A Analyzer
Semiconductor Device Analyser
QLD Node University of Queensland
Technique
Electrical characterisation
Description
Testing of organic and electronic circuits. Provides IV, CV and pulsed measurements of conductive, capacitive, inductive or semiconductor samples
Related Information
Measurements functions: Sweep/spot, multichannel sweep, list sweep, time sampling, quasi-static CV, high frequency CV (to 5 MHz) and a direct control mode. Easyexpert is also supplied with an extensive library of over 230 application tests.
Tool Contact
anff@uq.edu.au
Keithlink Four Point Probe
Probe station
QLD Node University of Queensland
Technique
Electrical characterisation
Description
Provides sheet resistance measurements of metal oxide thin films.
Related Information
Uses high input impedance meter to measure voltage drop when current applied by other two probes.
Tool Contact
anff@uq.edu.au
PV Measurements QEX7
Quantum efficiency and spectral response measurement system for solar cell measurement
QLD Node University of Queensland
Technique
Electrical characterisation
Description
This Quantum Efficiency(QE)/Spectral Response(SR)/Incident Photon to Current Conversion Efficiency(IPCE)/IV Measurement System is a low-cost, high-performance quantum efficiency measurement system for solar cell analysis. Supplies a calibrated light intensity over known spectrum for testing solar cell efficiency and performance.
Related Information
Scan range 300 - 1100 nm. High resolution scan in <1 min. Probe beam between 1-20 mm. AC and DC measurement modes. Chopping Frequency 1 - 120Hz. Integrated IV measurement.
Tool Contact
anff@uq.edu.au
Semiprobe SA-6
Probe station
QLD Node University of Queensland
Technique
Electrical characterisation
Description
Used to probe optoelectronic and semiconductor materials. Integrated into a glovebox system.
Related Information
4 probe DC probe station for measuring electrical properties of materials and devices. Substrate size up to 6 inch wafer.
Tool Contact
anff@uq.edu.au
Leica SP8
Confocal laser scanning microscope (LSM)
QLD Node University of Queensland
Technique
Confocal microscopy
Description
Confocal Microscope with Hybrid Detector (HyD) and white light laser with resonance scanner for high-resolution and high-speed imaging.
Related Information
Scan field 20mm diagonal maximum. Image resolution 64 megapixels. Excitation laser available 405, 442, 470-670 nm. Spectral detection 400-700 nm. Z-range up to 300 µm. Environmental control. Can collect multiple channels. Confocal super-resolution of 140 nm laterally available.
Tool Contact
anff@uq.edu.au
Zeiss LSM 710 Confocal
Confocal laser scanning microscope (LSM)
QLD Node University of Queensland
Technique
Confocal microscopy
Description
High Resolution imaging of fluorescent structures and the ability to combine this with optical sectioning. This can be used to build a 3D image of sample.Has variable laser range which expands application possibilities
Related Information
Scan field of 20mm diagonal and a Z-range of 300µm. Spectral detection of 400-700 nm with 4 excitation lasers (405, 488, 561 and 633 nm). Heated stage. Time series available.
Tool Contact
anff@uq.edu.au
Asylum Research Cypher
Atomic force microscope (AFM) with high resolution m
QLD Node University of Queensland
Technique
Atomic Force Microscopy (AFM)
Description
A purpose built AFM in a dedicated enclosure to investigate surface nanomechanics and topography. Modes available: Kelvin probe force microscopy (KPFM); high voltage piezoresponse force microscopy (HV-PFM); scanning tunnelling microscopy (STM); and AM-FM viscoelastic mapping mode.
Related Information
Substrate size of 15mm diameter. Topological map of 30 µm x 30 µm.
Tool Contact
anff@uq.edu.au
JPK NanoWizard 4XP
Atomic force microscope (AFM) for biological samples
QLD Node University of Queensland
Technique
Atomic Force Microscopy (AFM)
Description
AFM with cell adhesion module, suitable for live cell imaging built on a Zeiss inverted microscope base.Modes available: fluid cell; temperature and gas control for biological samples; cohesion module (piezo crystal 100 µm in Z); optical/AFM image overlay; and electrochemical unit.
Related Information
Large substrate size can be handled. Topological map of 90 µm x 90 µm with sub-nanometre resolution in Z.
Tool Contact
anff@uq.edu.au
WITec alpha300 RA+S
Atomic force microscope (AFM) with Raman and SNOM functionality
QLD Node University of Queensland
Technique
Atomic Force Microscopy (AFM)
Description
Single system with the ability to analyse a the Raman spectrum, the surface topology and the SNOM signal of a sample.
Related Information
Image size of 90 µm x 90 µm topological map and Raman map. Sub nm resolution in Z axis. 532 and 633 nm laser sources for Raman. 300nm Raman spot size.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Anton Paar SurPASS
Streaming potential measurement
QLD Node University of Queensland
Description
Simple to use equipment to measure surface charge of solid surface, powder and fibre.
Related Information
Sample holders for solid substrates and powders/particles/fibres.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
J. A. Woollam VUV VASE (Gen-II)
Variable angle spectroscopic ellipsometer
QLD Node University of Queensland
Description
Versatile, easy to use ellipsometer for measurement of optical properties, film thickness and refractive index.
Related Information
Sample substrates up to 50 mm. Spectral range of 140 - 1700 nm. Incident angle between 40 - 90° using XY stage.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Nanospec AFT 180
Microspectrophotometer
QLD Node University of Queensland
Description
Optical film measurement
Related Information
More information to come.
Tool Contact
glenn.walker@griffith.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Jeol IT-300
Scanning Electron Microscopy (SEM)
QLD Node University of Queensland
Description
Tungsten filament SEM used to image samples up to 6 inches in diameter with a resolution of 3 nm at 30kV.
Related Information
Jeol platinum sputtering system available. All sample can be used once they are fixed on a substrate and do not outgas. Located in cleanroom to support cleanroom fabrication processes.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Jeol NeoSCOPE
Desktop Scanning Electron Microscope (SEM)
QLD Node University of Queensland
Description
Desktop SEM used to image small conductive samples, up to 70 mm in diameter.
Related Information
Capable of imaging most materials with a conductive layer. Magnification 10x - 40000x. Sample size 70 mm diameter, 4 inch wafer. Acceleration voltage of 15 kV, 10 kV and 5 kV.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Mettler Toledo DMA/SDTA861e
Dynamic mechanical analyser
QLD Node University of Queensland
Description
Investigates the dynamic mechanical behaviour of a material sample as a function of frequency and temperature.
Related Information
Temperature range of -150°C - 500°C with an accuracy of 0.5 K. Maximum peak force of 12N, 18N or 40N and minimum peak force of 0.005N. Frequency range of 0.001 - 1000Hz.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Mettler Toledo DSC 1 STARe System
Differential scanning calorimetry
QLD Node University of Queensland
Description
A system capable of analysing thermal properties of both organic and inorganic materials including glass transition temperature, enthalpy of melting, heat capacity, and thermal degradation.
Related Information
Temperature range ambient to 550°C with a 0.02K precision. Autosampler.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Mettler Toledo TGA/DSC 1 STAR e
Thermogravimetric analysis
QLD Node University of Queensland
Description
Evaluate stepwise changes in mass of polymers and organic compounds with temperature. Also combined with Differential scanning calorimetry to determine the temperature profile of the sample.
Related Information
Observes weight loss of organic and polymer compounds with temperature up to 500°C. Transition temperatures can also be determined.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Tecan Infinite 200
Multi-mode microplate reader
QLD Node University of Queensland
Description
Simple and quick measurements of absorbance and fluorescence in a microplate format.
Related Information
Standard plate formats from 6 to 384 well. UV-Vis measurement range 230-1000 nm. Fluorescence measurement using excitation from 230-600 nm and emission from 330-600 nm.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
KLA Surfscan 7700
Wafer inspection system
QLD Node University of Queensland
Description
Fast, fully automatic, jig to jig, non-contact surface measurement. Particle Sensitivity 150nm. Processes Si wafers of 150mm - 675µm
Related Information
Used to determine defects on bare Si, coated Si and patterned wafers. Calibrated by diameter latex spheres on bare silicon.
Tool Contact
glenn.walker@griffith.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Thermo Fisher Scientific Nicolet Almega XR
Dispersive Raman Microscope
QLD Node University of Queensland
Description
Profiles large areas of samples of polymers, coal, and other organic materials.
Related Information
Fourier Transform Raman spectrometer (1064 nm Laser). Collects Raman spectra between 400 - 4000cm-1
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
KLA Zeta 300
Optical profilometer
QLD Node University of Queensland
Description
System features an automated stage and provides quick and flexible profiling of surface topography. The technique allows for superior capture of angled surfaces when compared to interferometric techniques. Used for 3D images using a shallow depth of field objective. This is then reconstructed into a 3D image.
Related Information
Measures multilayer film thickness, surface roughness and step heights, CD measurement and 3D profiling. Automated metrology and data analysis. Substrate size up to 6 inch wafer and 100 mm thick. Z resolution of 1 nm. Lateral resolution >370 nm at 150x.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Veeco Wyko NT1100
Optical profilometer
QLD Node University of Queensland
Description
For optical 3D profiling of samples and surface roughness measurements of most materials. Uses an interference technique to construct 3D images of nanofabricated structures
Related Information
Substrates with a scan area of 2 x 2.5 mm. White light interferometer for vertical measurement range of 0.1 nm to 2 mm with a vertical resolution 1 < 1 Å.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Harvard Apparatus Pump 33 DDS
Microfluidic syringe pump
QLD Node University of Queensland
Description
The Pump 33 DDS has two independent pumping channels controlled by an intuitive touch screen interface.
Related Information
Provides precise control of up to 4 channels within a complex microfluidic device
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
NIKON Ti-U and V9.1
Microfluidic characterisation station – attached to Nikon Eclipse Ti-U optical microscope
QLD Node University of Queensland
Description
Inverted light microscope with epi fluorescence and attached high speed camera which allows analysis of moving particles.
Related Information
High frame rate camera captures images of dynamic sample being imaged. Frame rate up to 1000 frames per second at highest resolution. 6 channel LED light generator. Five emission filters.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Agilent Technologies CARY 630 FTIR
Fourier transform infrared (FTIR) spectrometer
QLD Node University of Queensland
Description
Examines chemical compositions of synthetic organic compounds and polymers using user-friendly software. Modules available; Transmission, Diamond ATR, Germanium ATR and TumblIR.
Related Information
Spectral range of 400-4000 cm-1. Can analyse liquids, powders and films.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Thermo Fisher Scientific Nicolet 5700
Fourier transform infrared (FTIR) spectrometer
QLD Node University of Queensland
Description
Used to identify chemical composition of organic compounds and polymers.
Related Information
Fourier Transform Infrared spectrometer with an attenuated total reflectance accessory. Collects IR spectra of materials (4,000 – 10,000cm-1).
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Agilent Technologies Agilent B1500A Analyzer
Semiconductor Device Analyser
QLD Node University of Queensland
Description
Testing of organic and electronic circuits. Provides IV, CV and pulsed measurements of conductive, capacitive, inductive or semiconductor samples
Related Information
Measurements functions: Sweep/spot, multichannel sweep, list sweep, time sampling, quasi-static CV, high frequency CV (to 5 MHz) and a direct control mode. Easyexpert is also supplied with an extensive library of over 230 application tests.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Keithlink Four Point Probe
Probe station
QLD Node University of Queensland
Description
Provides sheet resistance measurements of metal oxide thin films.
Related Information
Uses high input impedance meter to measure voltage drop when current applied by other two probes.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
PV Measurements QEX7
Quantum efficiency and spectral response measurement system for solar cell measurement
QLD Node University of Queensland
Description
This Quantum Efficiency(QE)/Spectral Response(SR)/Incident Photon to Current Conversion Efficiency(IPCE)/IV Measurement System is a low-cost, high-performance quantum efficiency measurement system for solar cell analysis. Supplies a calibrated light intensity over known spectrum for testing solar cell efficiency and performance.
Related Information
Scan range 300 - 1100 nm. High resolution scan in <1 min. Probe beam between 1-20 mm. AC and DC measurement modes. Chopping Frequency 1 - 120Hz. Integrated IV measurement.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Semiprobe SA-6
Probe station
QLD Node University of Queensland
Description
Used to probe optoelectronic and semiconductor materials. Integrated into a glovebox system.
Related Information
4 probe DC probe station for measuring electrical properties of materials and devices. Substrate size up to 6 inch wafer.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Leica SP8
Confocal laser scanning microscope (LSM)
QLD Node University of Queensland
Description
Confocal Microscope with Hybrid Detector (HyD) and white light laser with resonance scanner for high-resolution and high-speed imaging.
Related Information
Scan field 20mm diagonal maximum. Image resolution 64 megapixels. Excitation laser available 405, 442, 470-670 nm. Spectral detection 400-700 nm. Z-range up to 300 µm. Environmental control. Can collect multiple channels. Confocal super-resolution of 140 nm laterally available.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Zeiss LSM 710 Confocal
Confocal laser scanning microscope (LSM)
QLD Node University of Queensland
Description
High Resolution imaging of fluorescent structures and the ability to combine this with optical sectioning. This can be used to build a 3D image of sample.Has variable laser range which expands application possibilities
Related Information
Scan field of 20mm diagonal and a Z-range of 300µm. Spectral detection of 400-700 nm with 4 excitation lasers (405, 488, 561 and 633 nm). Heated stage. Time series available.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Asylum Research Cypher
Atomic force microscope (AFM) with high resolution m
QLD Node University of Queensland
Description
A purpose built AFM in a dedicated enclosure to investigate surface nanomechanics and topography. Modes available: Kelvin probe force microscopy (KPFM); high voltage piezoresponse force microscopy (HV-PFM); scanning tunnelling microscopy (STM); and AM-FM viscoelastic mapping mode.
Related Information
Substrate size of 15mm diameter. Topological map of 30 µm x 30 µm.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
JPK NanoWizard 4XP
Atomic force microscope (AFM) for biological samples
QLD Node University of Queensland
Description
AFM with cell adhesion module, suitable for live cell imaging built on a Zeiss inverted microscope base.Modes available: fluid cell; temperature and gas control for biological samples; cohesion module (piezo crystal 100 µm in Z); optical/AFM image overlay; and electrochemical unit.
Related Information
Large substrate size can be handled. Topological map of 90 µm x 90 µm with sub-nanometre resolution in Z.
Tool Contact
anff@uq.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
WITec alpha300 RA+S
Atomic force microscope (AFM) with Raman and SNOM functionality
QLD Node University of Queensland
Description
Single system with the ability to analyse a the Raman spectrum, the surface topology and the SNOM signal of a sample.
Related Information
Image size of 90 µm x 90 µm topological map and Raman map. Sub nm resolution in Z axis. 532 and 633 nm laser sources for Raman. 300nm Raman spot size.
Tool Contact
anff@uq.edu.au
Experts
Dr Wael Al Abdulla
Senior Professional Officer
Wael joined the team at ANFF-Qld as a Senior Professional Officer in 2014, bringing a wealth of experience in polymer production and characterisation and another pair of highly skilled hands to the ANFF-Qld team. Wael manages the ANFF-Qld clean room and provides technical support and training. He is also responsible for various fabrication processes and equipment in our lithography and soft-lithography area. As a member of the AIBN Work Place Health and Safety Committee, he has participated in laboratory inductions and advises staff and students on safe laboratory practices.
Read More
University of Queensland
Contact Dr Wael Al Abdulla
Prof Paul Burn
Deputy Director
Prof Paul Burn is the Deputy Director of ANFF-Qld and is a Fellow of the Australian Academy of Science and Royal Society of Chemistry. He is currently a Professor of Chemistry and the Head of the Centre for Organic Photonics & Electronics, and holds a Vice Chancellor's Research Focused Fellowship at The University of Queensland, Australia. Paul received his PhD from the University of Sydney before moving to Cambridge University during which he held the Dow Research Fellow at Christ's College, Cambridge, England. In 1992 he moved to the University of Oxford and then in 2007 to The University of Queensland as an Australian Research Council Federation Fellow. His research focuses on the development of organic (opto)electronic materials and their application in technologies such as thin film photovoltaic devices and photodiodes, organic light-emitting diodes for displays and lighting, and sensors.
Read More
University of Queensland
Contact Prof Paul Burn
Dr Ravi Chandra Raju Nagiri
Senior Professional Officer
As a Senior Professional Officer at the ANFF-Qld, Dr Ravi Chandra Raju Nagiri is responsible for handling the state-of-the-art nano/micro fabrication, processing and materials-characterisation facilities. He provides instrument operational training, service, and expert-support to the research clients from academic, industry and Australian government R&D.
Read More
University of Queensland
Contact Dr Ravi Chandra Raju Nagiri