ANFF New South Wales
Home > Locations
Overview

The NSW Node of ANFF is split between the University of New South Wales (UNSW) and the University of Sydney.

The core area of expertise for ANFF’s activities at UNSW includes nanoelectronics, with both Si-MOS and GaAs devices with sub-50 nm feature sizes routinely produced using the hub’s suite of high-resolution EBL systems within a 600 m2 laboratory complex providing cleanrooms with particle counts equivalent to ISO5, ISO6 and ISO7. The full range of research disciplines regularly supported by ANFF NSW @ UNSW is broad, encompassing quantum computing, biomedical devices, nanophotonics, medical detectors and photovoltaics.

ANFF NSW equipment at the University of Sydney forms part of the Research and Prototype Foundry (RPF), a Core Research Facility based at the Sydney Nanoscience Hub. The RPF enables the development of optical chips, electronic devices and new quantum science and technology via outstanding lithography, etching, deposition and metrology capabilities.

Node Competencies

Specialist fields: nanoelectronics (Si-MOS and GaAs); semiconductor device processing; optics; quantum technologies; photovoltaics.

Flagship facilities: high resolution EBL systems, including the flagship Raith 150TWO; UV lithography equipment; a range of deposition systems and etching tools; high temperature silicon oxidation, diffusion and annealing furnaces; wet chemical process lines; a suite of metrology tools; device packaging and bonding tools.

Contact

Node Director: Prof François Ladouceur
Email: f.ladouceur@unsw.edu.au
Phone: +61 2 9385 6311

Facility Manager: Matthew Boreland
Email: m.boreland@unsw.edu.au
Phone: +61 2 9385 7845

Location
University of New South Wales
Address

Level 3 Newton Building
The University of New South Wales
Sydney NSW 2052

University of Sydney
Address

Sydney Nanoscience Hub
University of Sydney
NSW 2052

+61 2 9036 9050

University of Technology Sydney
Address

UTS Science
Building 7, Thomas St
Ultimo NSW 2007

Mailing Address
UTS Science Store
Building 1, Level 2, Thomas St
Ultimo NSW 2007

Equipment
Deposition
Edwards 306 thermal evaporator
Thermal evaporator with angled and cold evaporation stages
NSW Node University of New South Wales
Technique
Thermal evaporation
Description
thermal evaporator, angled evaporation stage, cold evaporation stage
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Lesker thermal evaporator
Thermal evaporator
NSW Node University of New South Wales
Technique
Thermal evaporation
Description
thermal evaporator, general purpose
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Edwards sputterer
Sputtering system
NSW Node University of New South Wales
Technique
Sputtering
Description
sputtering system, short throw (thick depositions)
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Emitech K550
Sputter Coater
NSW Node University of Sydney
Technique
Sputtering
Description
Small throw sputter coater for coating of small samples
Related Information
2 inch sputter targets of Au, Ag and Ti available.
Tool Contact
rpf.queries@sydney.edu.au
HHV TF600
Sputtering system
NSW Node University of New South Wales
Technique
Sputtering
Description
sputtering system, 8" capability, multi-target, co-sputtering
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Oxford Instruments Plasmalab 100 – PECVD setup
Plasma enhanced chemical vapour deposition system (PECVD)
NSW Node University of New South Wales
Technique
Plasma Enhanced Chemical Vapour Deposition (PECVD)
Description
PECVD
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Pascal Laser MBE
dual chamber laser-MBE system
NSW Node University of New South Wales
Technique
Molecular Beam Epitaxy (MBE)
Description
dual chamber laser-MBE system
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Veeco Gen930
Molecular Beam Epitaxy (MBE) system for III-V materials
NSW Node University of New South Wales
Technique
Molecular Beam Epitaxy (MBE)
Description
III-V MBE system
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Seki CVD- small unit
MPCVD system for deposition of doped diamond
NSW Node University of Technology Sydney
Technique
Microwave Plasma-enhanced Chemical Vapour Deposition (MPCVD)
Description
Small bell-jar type reactor for growing small samples of doped diamond films
Related Information
Doped films. Nitrogen, Boron, Germanium, Nickel etc.
Tool Contact
blake.regan@uts.edu.au
Cambridge NanoTech Savannah S200
Atomic layer deposition (ALD) system with two precursor sources
NSW Node University of New South Wales
Technique
Atomic Layer Deposition (ALD)
Description
ALD system, two precursor sources
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Edwards 306 thermal evaporator
Thermal evaporator with angled and cold evaporation stages
NSW Node University of New South Wales
Description
thermal evaporator, angled evaporation stage, cold evaporation stage
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Lesker thermal evaporator
Thermal evaporator
NSW Node University of New South Wales
Description
thermal evaporator, general purpose
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Edwards sputterer
Sputtering system
NSW Node University of New South Wales
Description
sputtering system, short throw (thick depositions)
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Emitech K550
Sputter Coater
NSW Node University of New South Wales
Description
Small throw sputter coater for coating of small samples
Related Information
2 inch sputter targets of Au, Ag and Ti available.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
HHV TF600
Sputtering system
NSW Node University of New South Wales
Description
sputtering system, 8" capability, multi-target, co-sputtering
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Oxford Instruments Plasmalab 100 – PECVD setup
Plasma enhanced chemical vapour deposition system (PECVD)
NSW Node University of New South Wales
Description
PECVD
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Pascal Laser MBE
dual chamber laser-MBE system
NSW Node University of New South Wales
Description
dual chamber laser-MBE system
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Veeco Gen930
Molecular Beam Epitaxy (MBE) system for III-V materials
NSW Node University of New South Wales
Description
III-V MBE system
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Seki CVD- small unit
MPCVD system for deposition of doped diamond
NSW Node University of New South Wales
Description
Small bell-jar type reactor for growing small samples of doped diamond films
Related Information
Doped films. Nitrogen, Boron, Germanium, Nickel etc.
Tool Contact
blake.regan@uts.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Cambridge NanoTech Savannah S200
Atomic layer deposition (ALD) system with two precursor sources
NSW Node University of New South Wales
Description
ALD system, two precursor sources
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Etching
Custom Reactive Ion Etcher
Hollow-cathode RIE
NSW Node University of New South Wales
Technique
Reactive Ion Etching (RIE)
Description
hollow-cathode RIE, general purpose
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Oxford Instruments Plasmalab 100 – RIE setup
Reactive ion etcher (RIE)
NSW Node University of New South Wales
Technique
Reactive Ion Etching (RIE)
Description
RIE, 'clean' Si processes
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
STS ICP-DRIE
Inductively coupled plasma (ICP) reactive ion etcher
NSW Node University of New South Wales
Technique
Reactive Ion Etching (RIE)
Description
ICP-RIE
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
South Bay RIE3000
O2 Plasma Asher/RIE
NSW Node University of Sydney
Technique
Plasma etching
Description
Reactive ion etch system dedicated to O2 and Ar plasma processes
Related Information
Used primarily for resist and sample cleaning.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Custom Reactive Ion Etcher
Hollow-cathode RIE
NSW Node University of Sydney
Description
hollow-cathode RIE, general purpose
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Oxford Instruments Plasmalab 100 – RIE setup
Reactive ion etcher (RIE)
NSW Node University of Sydney
Description
RIE, 'clean' Si processes
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
STS ICP-DRIE
Inductively coupled plasma (ICP) reactive ion etcher
NSW Node University of Sydney
Description
ICP-RIE
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
South Bay RIE3000
O2 Plasma Asher/RIE
NSW Node University of Sydney
Description
Reactive ion etch system dedicated to O2 and Ar plasma processes
Related Information
Used primarily for resist and sample cleaning.
Tool Contact
rpf.queries@sydney.edu.au
Laboratory Infrastructure
Reynolds Tech Hotplate Tower
Stacked Hotplate tower
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted stacked hotplates for baking during solvent based processes
Related Information
Includes 4 hotplates dedicated to different temperature ranges - used for baking/outgassing purposes
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench – Acid
Wet Bench for general purpose acid processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for handling of general purpose acids
Related Information
Includes integrated stirring hotplate, heated and filtered process tank and cascading tank for batch processing
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench – Caustic Develop
Wet Bench for caustic development processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for handling of caustic based chemicals - primarily TMAH based developers
Related Information
Includes automated spin process unit for development of wafers up to 7 inches squared.
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench – Chromium etch
Wet Bench for Chromium etch processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for handling of chromium etch chemicals
Related Information
Includes automated spin process unit for etching of wafers up to 7 inches squared.
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench – EBL Resist Coating
Wet Bench for electron beam lithography resist coating processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for resist coating processes dedicated to EBL resists
Related Information
Includes two spinners dedicated to PMMA and CSAR/ZEP processes
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench – General Purpose
Wet Bench for general purpose caustic etch processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for handling of general purpose caustic based chemicals
Related Information
Includes integrated stirring hotplate, heated and filtered process tank and cascading tank for batch processing
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench – Hydrofluric acid
Wet bench for Hydrofluoric acid processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for handling of hydrofluoric acid
Related Information
Includes heated process tank and cascading tank for rinsing.
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench – Photolithography Resist coating
Wet Bench for photolithography resist coating processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for resist coating processes dedicated to thicker photolithography based resists
Related Information
Includes three spinners dedicated to positive, negative and thick resist processes
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench -Lift-off process
Wet bench for solvent based lift-off processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for solvent based metal lift-off and cleaning processes
Related Information
Includes three heated ultrasonic tanks, an ambient filtered tank, and a cascading tank
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench -Solvent Develop
Wet bench for solvent based development processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for solvent based development processes
Related Information
Includes cold plate for cold development processes
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench
Wet Bench for general purpose acid processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for handling of general purpose acids
Related Information
Includes two integrated stirring hotplates and a cascading tank for rinsing
Tool Contact
rpf.queries@sydney.edu.au
Cleanroom ISO 5 East
Class 100 cleanroom space
NSW Node University of New South Wales
Technique
Cleanroom spaces
Description
East infrastructure
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Cleanroom ISO 5 West
Class 100 cleanroom space
NSW Node University of New South Wales
Technique
Cleanroom spaces
Description
West infrastructure
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Hotplate Tower
Stacked Hotplate tower
NSW Node University of New South Wales
Description
Exhausted stacked hotplates for baking during solvent based processes
Related Information
Includes 4 hotplates dedicated to different temperature ranges - used for baking/outgassing purposes
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench – Acid
Wet Bench for general purpose acid processes
NSW Node University of New South Wales
Description
Exhausted wet bench used for handling of general purpose acids
Related Information
Includes integrated stirring hotplate, heated and filtered process tank and cascading tank for batch processing
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench – Caustic Develop
Wet Bench for caustic development processes
NSW Node University of New South Wales
Description
Exhausted wet bench used for handling of caustic based chemicals - primarily TMAH based developers
Related Information
Includes automated spin process unit for development of wafers up to 7 inches squared.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench – Chromium etch
Wet Bench for Chromium etch processes
NSW Node University of New South Wales
Description
Exhausted wet bench used for handling of chromium etch chemicals
Related Information
Includes automated spin process unit for etching of wafers up to 7 inches squared.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench – EBL Resist Coating
Wet Bench for electron beam lithography resist coating processes
NSW Node University of New South Wales
Description
Exhausted wet bench used for resist coating processes dedicated to EBL resists
Related Information
Includes two spinners dedicated to PMMA and CSAR/ZEP processes
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench – General Purpose
Wet Bench for general purpose caustic etch processes
NSW Node University of New South Wales
Description
Exhausted wet bench used for handling of general purpose caustic based chemicals
Related Information
Includes integrated stirring hotplate, heated and filtered process tank and cascading tank for batch processing
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench – Hydrofluric acid
Wet bench for Hydrofluoric acid processes
NSW Node University of New South Wales
Description
Exhausted wet bench used for handling of hydrofluoric acid
Related Information
Includes heated process tank and cascading tank for rinsing.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench – Photolithography Resist coating
Wet Bench for photolithography resist coating processes
NSW Node University of New South Wales
Description
Exhausted wet bench used for resist coating processes dedicated to thicker photolithography based resists
Related Information
Includes three spinners dedicated to positive, negative and thick resist processes
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench -Lift-off process
Wet bench for solvent based lift-off processes
NSW Node University of New South Wales
Description
Exhausted wet bench used for solvent based metal lift-off and cleaning processes
Related Information
Includes three heated ultrasonic tanks, an ambient filtered tank, and a cascading tank
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench -Solvent Develop
Wet bench for solvent based development processes
NSW Node University of New South Wales
Description
Exhausted wet bench used for solvent based development processes
Related Information
Includes cold plate for cold development processes
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench
Wet Bench for general purpose acid processes
NSW Node University of New South Wales
Description
Exhausted wet bench used for handling of general purpose acids
Related Information
Includes two integrated stirring hotplates and a cascading tank for rinsing
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Cleanroom ISO 5 East
Class 100 cleanroom space
NSW Node University of New South Wales
Description
East infrastructure
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Cleanroom ISO 5 West
Class 100 cleanroom space
NSW Node University of New South Wales
Description
West infrastructure
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Lithography
ASML PAS 5500/100
i-line Stepper
NSW Node University of Sydney
Technique
Stepper
Description
A high throughput step-and-repeat projection lithography system that can pattern feature sizes down to 350 nm
Related Information
Well suited to demonstrating scalable batch processes on 6" wafers at low - medium volume production. Accepts 6" reticles with 5x reduction in critical dimensions. Die size limited to 22 x 22mm.
Tool Contact
rpf.queries@sydney.edu.au
PM-Plast Delta 15
Mask Cleaning tool
NSW Node University of Sydney
Technique
Spin coating and wafer development
Description
Automated single wafer cleaning tool
Related Information
Primarily dedicated to mask cleaning
Tool Contact
rpf.queries@sydney.edu.au
Rite Track SVG 88
Spin coater and developer
NSW Node University of Sydney
Technique
Spin coating and wafer development
Description
A fully automated system for spin coating, HMDS application, baking, and development. The system is well suited to batch scale production, providing high process performance and consistency in coating and development.
Related Information
The system can process 4 and 6 inch wafers, and is capable of running 25 wafers at a time. Auto dispense of resist (standard SPR660). MF26 developer.
Tool Contact
rpf.queries@sydney.edu.au
FEI Sirion
Electron beam lithography (EBL) system
NSW Node University of New South Wales
Technique
Electron Beam Lithography (EBL)
Description
EBL system, NPGS pattern generator, small write fields
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Heidelberg DWL 66+
Direct laser lithography system
NSW Node University of Sydney
Technique
Direct laser lithography
Description
Direct write laser lithography system capable of high resolution and grayscale patterning
Related Information
375 nm laser wavelength, multiple write modes. Capable of achieving sub 500 nm features with highest resolution, alignment, maximum write area 200 x 200 mm
Tool Contact
rpf.queries@sydney.edu.au
Custom Asher
Plasma asher
NSW Node University of New South Wales
Technique
Cleaning
Description
O2 plasma asher
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Denton Asher
Plasma asher
NSW Node University of New South Wales
Technique
Cleaning
Description
O2 plasma asher
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
OEM Spin Rinse Dryer – Etch
Wafer Cleaning tool
NSW Node University of Sydney
Technique
Cleaning
Description
Automated batch wafer cleaning tool
Related Information
Can accommodate up to 6 inch round wafers
Tool Contact
rpf.queries@sydney.edu.au
OEM Spin Rinse Dryer
Wafer Cleaning tool
NSW Node University of Sydney
Technique
Cleaning
Description
Automated batch wafer cleaning tool
Related Information
Can accommodate up to 6 inch square wafers
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
ASML PAS 5500/100
i-line Stepper
NSW Node University of Sydney
Description
A high throughput step-and-repeat projection lithography system that can pattern feature sizes down to 350 nm
Related Information
Well suited to demonstrating scalable batch processes on 6" wafers at low - medium volume production. Accepts 6" reticles with 5x reduction in critical dimensions. Die size limited to 22 x 22mm.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
PM-Plast Delta 15
Mask Cleaning tool
NSW Node University of Sydney
Description
Automated single wafer cleaning tool
Related Information
Primarily dedicated to mask cleaning
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Rite Track SVG 88
Spin coater and developer
NSW Node University of Sydney
Description
A fully automated system for spin coating, HMDS application, baking, and development. The system is well suited to batch scale production, providing high process performance and consistency in coating and development.
Related Information
The system can process 4 and 6 inch wafers, and is capable of running 25 wafers at a time. Auto dispense of resist (standard SPR660). MF26 developer.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
FEI Sirion
Electron beam lithography (EBL) system
NSW Node University of Sydney
Description
EBL system, NPGS pattern generator, small write fields
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Heidelberg DWL 66+
Direct laser lithography system
NSW Node University of Sydney
Description
Direct write laser lithography system capable of high resolution and grayscale patterning
Related Information
375 nm laser wavelength, multiple write modes. Capable of achieving sub 500 nm features with highest resolution, alignment, maximum write area 200 x 200 mm
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Custom Asher
Plasma asher
NSW Node University of Sydney
Description
O2 plasma asher
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Denton Asher
Plasma asher
NSW Node University of Sydney
Description
O2 plasma asher
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
OEM Spin Rinse Dryer – Etch
Wafer Cleaning tool
NSW Node University of Sydney
Description
Automated batch wafer cleaning tool
Related Information
Can accommodate up to 6 inch round wafers
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
OEM Spin Rinse Dryer
Wafer Cleaning tool
NSW Node University of Sydney
Description
Automated batch wafer cleaning tool
Related Information
Can accommodate up to 6 inch square wafers
Tool Contact
rpf.queries@sydney.edu.au
Manufacturing and machining
Heathway Draw tower
Polymer draw tower
NSW Node University of Sydney
Technique
Draw towers
Description
Drawing specialty polymer, softglass and composite fibres.
Related Information
Drawing specialty polymer, softglass and composite fibres.
Tool Contact
justin.digweed@sydney.edu.au
Lagan CNC Milling Machine
CNC milling machine
NSW Node University of Sydney
Technique
Computer Numerical Control (CNC) milling
Description
Machining Polymer preforms
Related Information
Machining Polymer preforms
Tool Contact
justin.digweed@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Heathway Draw tower
Polymer draw tower
NSW Node University of Sydney
Description
Drawing specialty polymer, softglass and composite fibres.
Related Information
Drawing specialty polymer, softglass and composite fibres.
Tool Contact
justin.digweed@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Lagan CNC Milling Machine
CNC milling machine
NSW Node University of Sydney
Description
Machining Polymer preforms
Related Information
Machining Polymer preforms
Tool Contact
justin.digweed@sydney.edu.au
Materials Synthesis and Modification
IBS IMC-200
Ion implanter
NSW Node University of New South Wales
Technique
Ion implantation, doping and diffusion
Description
ion implanter
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Thermco boron diffusion
Furnace for diffusion of boron
NSW Node University of New South Wales
Technique
Ion implantation, doping and diffusion
Description
boron diffusion furnace
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Thermco phosphorus diffusion
Furnace for diffusion of phosphorus
NSW Node University of New South Wales
Technique
Ion implantation, doping and diffusion
Description
phosphorus diffusion furnace
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Thermco oxidation furnace
Oxidation furnace for Si only
NSW Node University of New South Wales
Technique
Furnaces
Description
clean Si oxidation furnace
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Thermco UDOX
Ultra dry oxidation (UDOX) furnace for Si only
NSW Node University of New South Wales
Technique
Furnaces
Description
UDOX ultra-clean Si oxidation furnace (MOS)
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Thermco clean silicon annealing
Furnace
NSW Node University of New South Wales
Technique
Annealing
Description
clean anneal furnace (MOS)
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Thermco GP annealing
General purpose furnace
NSW Node University of New South Wales
Technique
Annealing
Description
general purpose anneal furnace
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Jipelec Jetfirst
Rapid thermal annealer
NSW Node University of New South Wales
Technique
Annealing
Description
rapid thermal annealer
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
IBS IMC-200
Ion implanter
NSW Node University of New South Wales
Description
ion implanter
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Thermco boron diffusion
Furnace for diffusion of boron
NSW Node University of New South Wales
Description
boron diffusion furnace
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Thermco phosphorus diffusion
Furnace for diffusion of phosphorus
NSW Node University of New South Wales
Description
phosphorus diffusion furnace
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Thermco oxidation furnace
Oxidation furnace for Si only
NSW Node University of New South Wales
Description
clean Si oxidation furnace
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Thermco UDOX
Ultra dry oxidation (UDOX) furnace for Si only
NSW Node University of New South Wales
Description
UDOX ultra-clean Si oxidation furnace (MOS)
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Thermco clean silicon annealing
Furnace
NSW Node University of New South Wales
Description
clean anneal furnace (MOS)
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Thermco GP annealing
General purpose furnace
NSW Node University of New South Wales
Description
general purpose anneal furnace
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Jipelec Jetfirst
Rapid thermal annealer
NSW Node University of New South Wales
Description
rapid thermal annealer
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Packaging
Kulicke & Soffa 4523
Wedge bonder
NSW Node University of New South Wales
Technique
Wire bonding
Description
Al wedge bonder
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Finetech Fineplacer Lambda
Sub-Micron Die Bonder
NSW Node University of Sydney
Technique
Wafer bonding
Description
Sub-micron die-bonder for precision die attach and advanced chip packaging.
Related Information
Ultrasonic or thermosonic bonding, formic acid, adhesive dispense module.
Tool Contact
rpf.queries@sydney.edu.au
Suss scriber
Wafer scriber
NSW Node University of New South Wales
Technique
Wafer dicing
Description
wafer scriber
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Kulicke & Soffa 4523
Wedge bonder
NSW Node University of New South Wales
Description
Al wedge bonder
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Finetech Fineplacer Lambda
Sub-Micron Die Bonder
NSW Node University of New South Wales
Description
Sub-micron die-bonder for precision die attach and advanced chip packaging.
Related Information
Ultrasonic or thermosonic bonding, formic acid, adhesive dispense module.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Suss scriber
Wafer scriber
NSW Node University of New South Wales
Description
wafer scriber
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Testing and validation
Bruker Dektak XT
Stylus profilometer
NSW Node University of Sydney
Technique
Stylus profilometry
Description
The Dektak XT profilometer is a stylus profiler capable of measuring step heights, film stress, and surface roughness. This tool has a 0.1 nm vertical resolution with a 6 inch automated stage.
Related Information
Sample size of up to 6 inch wafer. Single scan size of 55 mm. Vertical range of 1 mm
Tool Contact
rpf.queries@sydney.edu.au
Nikon Instruments LV-100ND
Nikon LV-100ND with NIS Software and UV Source
NSW Node University of Sydney
Technique
Optical microscopy
Description
A manual microscope used for wafer inspection
Related Information
Can accommodate up to 6 inch round wafers
Tool Contact
rpf.queries@sydney.edu.au
Custom Probe Station
Four point probe
NSW Node University of New South Wales
Technique
Electrical characterisation
Description
four point probe
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Karl Suss PM5 Probe Station
Probe station
NSW Node University of Sydney
Technique
Electrical characterisation
Description
4 probe DC probe station for measuring electrical properties of materials and devices.
Related Information
Substrate size up to 6 inch wafer. Can heat substrate up to 120 degrees centigrade during measurement.
Tool Contact
rpf.queries@sydney.edu.au
Lasertec L2000
Confocal laser scanning microscope (LSM)
NSW Node University of Sydney
Technique
Confocal microscopy
Description
Lasertec Scanning Laser Microscope
Related Information
More information to come.
Tool Contact
rpf.queries@sydney.edu.au
Digital Instruments DI3000
Atomic force microscope (AFM)
NSW Node University of New South Wales
Technique
Atomic Force Microscopy (AFM)
Description
AFM
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Bruker Dektak XT
Stylus profilometer
NSW Node University of New South Wales
Description
The Dektak XT profilometer is a stylus profiler capable of measuring step heights, film stress, and surface roughness. This tool has a 0.1 nm vertical resolution with a 6 inch automated stage.
Related Information
Sample size of up to 6 inch wafer. Single scan size of 55 mm. Vertical range of 1 mm
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Nikon Instruments LV-100ND
Nikon LV-100ND with NIS Software and UV Source
NSW Node University of New South Wales
Description
A manual microscope used for wafer inspection
Related Information
Can accommodate up to 6 inch round wafers
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Custom Probe Station
Four point probe
NSW Node University of New South Wales
Description
four point probe
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Karl Suss PM5 Probe Station
Probe station
NSW Node University of New South Wales
Description
4 probe DC probe station for measuring electrical properties of materials and devices.
Related Information
Substrate size up to 6 inch wafer. Can heat substrate up to 120 degrees centigrade during measurement.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Lasertec L2000
Confocal laser scanning microscope (LSM)
NSW Node University of New South Wales
Description
Lasertec Scanning Laser Microscope
Related Information
More information to come.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Digital Instruments DI3000
Atomic force microscope (AFM)
NSW Node University of New South Wales
Description
AFM
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Experts
Mr Roger Ackroyd
Senior Process Engineer - Nanofabrication
Roger is a Senior Process Engineer - Nanofabrication at ANFF-NSW University of Sydney. He spent the last 4 years working as a nanofabrication process engineer for Microsoft Quantum. In this role, and prior to this at UNSW, he worked on several devices and materials systems, including superconductors, semiconductors, qubits, microwave resonators, Josephson junctions, and SQUIDs. His focus is on process development, process improvement, and scale-up.
Read More
NSW Node
Contact Mr Roger Ackroyd
Anna Adams
Executive Assistant to Director
Anna provides executive support to the ANFF-NSW Node Director and administrative support to the ANFF-NSW team. Anna ensures the efficient management of the ANFF-NSW office functions. Anna has extensive secretarial and office management experience gained from working in the banking and finance industries prior to joining ANFF in 2017.
Read More
University of New South Wales
Contact Anna Adams
Gordon Bates
Laboratory Technical Manager
Gordon leads the ANFF-NSW technical team who work to keep the ANFF-NSW laboratories and tooling up and running. He liaises closely with the Facility Manager, Process Engineering team, UNSW Facilities, commercial suppliers and other stakeholders to ensure the ANFF-NSW cleanrooms, tooling and supplies are always on hand to meet the demands of the ANFF-NSW research community. Coming from an industrial design background, Gordon built up extensive experience in cleanroom design and operation prior to taking on the role of Laboratory Manager of the Semiconductor Nanofabrication Facility (from which the ANFF-NSW laboratories developed) in 2006. He has been instrumental in the specification, design and setup of ANFF's newer laboratories and the upkeep and improvement of the pre-existing facility.
Read More
University of New South Wales
Contact Gordon Bates